首页 交流电机软起动器控制系统设计

交流电机软起动器控制系统设计

举报
开通vip

交流电机软起动器控制系统设计交流电机软起动器控制系统设计 姓 名: 赵国栋 学 号: 07020212 班 级: 07电气6 专 业: 电气工程及其自动化 所在系: 自动化工程 指导老师: 黄孙伟 摘 要 三相异步电动机的起动电流高达额定电流的5,8倍~对电网造成较大干扰~ 尤其在工业领域中的重载起动~有时可能对设备安全构成严重威胁。传统的降 压起动方式~如星三角起动、自耦变压器起动等~要么起动电流和机械冲击过 大~要么体积庞大笨重、损耗大~要么起动力矩小、维修率高等等~都不尽人 意。软启动技术不仅实现在整个起动过程中无冲击而...

交流电机软起动器控制系统设计
交流电机软起动器控制系统设计 姓 名: 赵国栋 学 号: 07020212 班 级: 07电气6 专 业: 电气工程及其自动化 所在系: 自动化工程 指导老师: 黄孙伟 摘 要 三相异步电动机的起动电流高达额定电流的5,8倍~对电网造成较大干扰~ 尤其在工业领域中的重载起动~有时可能对设备安全构成严重威胁。传统的降 压起动方式~如星三角起动、自耦变压器起动等~要么起动电流和机械冲击过 大~要么体积庞大笨重、损耗大~要么起动力矩小、维修率高等等~都不尽人 意。软启动技术不仅实现在整个起动过程中无冲击而平滑地起动电动机~而且 可根据电动机负载的特性来调节起动过程中的参数如限流值、起停时间等~以 达到最佳的起停状态。我们从三相异步电动机的应用控制出发, 介绍了基于单 片机控制的电机软启动原理, 给出了基于单片机控制的电机软启动装置的设计 方法。用该方法控制电机的软启动系统具有控制结构简单, 实用性强等优点。 关键词: 交流电动机 软启动原理 单片机 软启动程序 晶闸管 Ac motor soft starter control ABSTRACT Three-phase asynchronous motor starting current is as high as rated current of 5 ~ 8 times of grid, cause bigger interference, especially in the industrial field, sometimes the overloading of equipment starting may pose a serious threat to security. The traditional step-down start way, if star triangle starting, self coupling transformer starting etc, or starting current and mechanical shock is too large, or heavy, bulky or loss is big, small, maintenance starting torque rate high, etc., are unsatisfactory. Soft start-up technology not only in the whole start process of realizing no impact and smoothly, and can according to starting motor load characteristics to adjust motor starting process of parameters such as the current limit value, starting and stopping time etc, so as to achieve the best of starting and stopping state. We from three-phase asynchronous motor, based on the application of introduced control based on single chip microcomputer control motor soft start, gives the principle based on single chip microcomputer control motor soft starter design method. Use this method to control the motor soft start-up system has control of simple structure, practical strong, etc. Key words:Ac motor Soft start principle Microcontroller Thyristor Soft start-up procedures 目 录 第一章 绪论 ................................................................ 1 1.1交流电机软启动存在的问题 ............................................... 1 1.2 软启动器的简单介绍 ..................................................... 1 1.2.1 软启动器的保护功能 ............................................... 3 1.2.2 它与变频器有的区别 ............................................... 3 1.2.3 软启动的作用 ..................................................... 3 1.2.4 软启动器的优点 ................................................... 4 1.3 国内外软启动现状 ...................................................... 4 1.4 本课题的意义及主要工作 ................................................. 5 第二章 软启动的基本原理和特点 ........................................... 6 2.1 软启动原理 ............................................................. 6 2.2 软启动常用的几种启动方式 ............................................... 7 2.3 软启动常用的几种停机方式 ............................................... 8 2.4 接触器旁路工作 ......................................................... 9 2.5 软启动的基本接线 ....................................................... 9 2.6电机软启动方式的选择 .................................................. 10 2.7 与传统启动的比较 ...................................................... 10 2.8 单片机的简单介绍 ...................................................... 11 2.8.1 单片机的介绍 .................................................... 11 2.8.2单片机的硬件特性 ................................................. 13 2.9单片机的选择 .......................................................... 13 第三章 软启动控制系统硬件设计 ........................................... 15 3.1 电机软启动系统原理方框图 .............................................. 15 3.2 硬件设计 .............................................................. 15 3.2.1 单片机的选型 .................................................... 16 3.2.2 单片机的连接电路图 .............................................. 17 3.2.3 可编程逻辑器件的选型 ............................................ 17 3.3 软起动控制系统硬件电路设计 ............................................ 19 3.3.1 软起动控制系统框图 .............................................. 19 3.3.2 软起动主电路及触发电路 .......................................... 20 3.3.3单片机控制电路 ................................................... 24 3.3.4 三相同步信号采集电路 ............................................ 25 3.3.5脉冲放大整形电路 ................................................. 26 3.3.6 检测电路 ........................................................ 27 3.3.7 A,D转换电路 .................................................... 28 3.3.8 键盘与显示电路 .................................................. 29 第四章 软启动控制系统软件设计 ........................................... 31 4.1单片机控制部分程序设计 ................................................ 31 4.1.1 主程序的编写 .................................................... 31 4.1.2 软起动子程序 .................................................... 32 4.1.3 CPLD器件分解结构图 .............................................. 33 4.1.4 同步信号产生模块 ................................................ 34 总 结 .................................................................... 36 参考文献 .................................................................... 37 谢 辞 .................................................................... 39 第一章 绪论 三相异步电机由于结构简单、控制维护方便、性能稳定、效率高等优点而被广泛地应用于煤矿生产和各种动力设备的拖动中。因其直接起动时产生的冲击电流对电网及其负载造成冲击,同时由于起动应力较大,使负载设备的使用寿命降低,因此常采用降压起动方式来减少影响。但是,传统的降压起动方式,如星三角起动、自耦变压器起动等,要么起动电流和机械冲击过大,要么体积庞大笨重、损耗大,要么起动力矩小、维修率高等等,都不尽人意。随着电子技术的发展,使用软启动器可以无冲击而平滑地起动电动机,而且可根据电动机负载的特性来调节起动过程中的参数达到最佳的起停状态,从而延长机械设备的使用寿命,减少设备的维修量,提高经济效益。 1.1交流电机软启动存在的问题 我们都知道,全压启动有什么缺陷: 1.起动电流达到电机全载工作电流的800%以上。 2.巨大的起动电流引起电网电压下降,影响到其他负载。 3.电气元件(接触器、过载)必须选择能耐受满浪涌电流的规格。 4.机械系统- 齿轮箱、风扇皮带、电机轴、水泵轴寿命缩短,磨损加剧。 易碎的产品碎裂、破裂、散落,定位产品的位移等。 5. 由上面可以看出全压启动还是有蛮多缺陷的,所以就提出了降压启动这个概念。传统的起动方式有Y-?起动,串电抗器降压起动,自藕变压器降压起动、延边三角形起动等。上述种种方式,在起动过程中,都有一个线圈电压切换过程,因而对电网存在“二次冲击”的问题。软起动器将电力电子技术与微电脑控制技术相结合(结合了计算机技术),起动时,由电子电路控制晶闸管的导通角使输出电压逐渐增加,电动机逐渐加速,自动地将起动电压连续、平滑地上升,直至晶闸管全导通,达到额定电压,使电机实现无冲击软起动;停机时,则控制晶闸管的关断速度,使电机的端电压由全电压逐渐下降至零,实现软停车;并能彻底的解决传统起动方式带来的“二次冲击”的问题。 1.2 软启动器的简单介绍 软启动顾名思义,就是不直接启动,而是慢慢的、一点一点的启动。比如在电机的输入端一点一点地把电压从0升高到额定电压,频率由0渐渐的变化到额定频率,这样电机在启 动过程中的启动电流,就由过去不可控的过载冲击电流变成为可控的、可根据需要调解大小的启动电流。电机启动的全过程都不存在冲击转矩,而是平滑的启动运行。这就是所谓的电动机的软启动。 软启动器于20世纪70年代末和80年代初投入市场,填补了星-三角启动器和变频器在功能实用性和价格之间的鸿沟。采用软启动器,可以控制电动机电压,使其在启动过程中逐渐升高,很自然地控制启动电流,这就意味着电动机可以平稳启动,机械和电应力降至最小。因此软启动器在市场上得到广泛应用,并且软启动器所附带的软停车功能有效地避免水泵停止时所产生的“水锤效应”。 图1.1 软启动器 figure 1.1 Soft starter 异步电动机以其优良的性能及无需维护的特点,在各行各业中得到广泛的应用。然而由于其起动时要产生较大冲击电流(一般为Ie的5-8倍),同时由于起动应力较大,使负载设备的使用寿命降低。国家有关部门对电机起动早有明确规定,即电机起动时的电网电压将不能超过15%。解决办法有两个:增大配电容量,采用限制电机起动电流的起动设备,如果仅仅为起动电机而增大配电容,从经济角度上来说,显然不可取。为此,人们往往需要配备限制电机起动电流的起动设备,过去人们多采用Y/?转换,自藕降压,磁控降压等方式来实现。这些方法虽然可以起到一定的限流作用,但没有从根本上解决问题。 伴随传动控制对自动化要求的不断提高,采用可控硅为主要器件、单片机为控制核心的智能型电动机起动设备—软起动器,已在各行各业得到越来越多的应用,由于软起动器性能优良、体积小、重量轻,并且具有智能控制及多种保护功能,而且各项起动参数可根据不同负载进行调整,其负载适应性很强。因此电子式软起动器将逐步取代落后的Y/Δ、自耦减压和磁控式等传统的减压起动设备成为必然。 电力电子技术的快速发展,智能型软起动器得到广泛应用。智能型软起动器是一种集软起动、软停车、轻载节能和多功能保护于一体的新颖电机控制装置,又称为SoftStarter。它不仅实现在整个起动过程中无冲击而平滑的起动电机,而且可根据电动机负载的特性来调节起动过程中的参数,如限流值、起动时间等。此外,它还具有多种对电机保护功能,这就从根本上解决了传统的降压起动设备的诸多弊端。 1.2.1 软启动器的保护功能 1.过载保护功能:软起动器引进了电流控制环,因而随时跟踪检测电机电流的变化状况。通过增加过载电流的设定和反时限控制模式,实现了过载保护功能,使电机过载时,关断晶闸管并发出报警信号。 2.缺相保护功能:工作时,软起动器随时检测三相线电流的变化,一旦发生断流,即可作出缺相保护反应。 3.过热保护功能:通过软起动器内部热继电器检测晶闸管散热器的温度,一旦散热器温度超过允许值后自动关断晶闸管,并发出报警信号。 4.其它功能:通过电子电路的组合,还可在系统中实现其它种种联锁保护。 1.2.2 它与变频器有的区别 软启动器和变频器是两种完全不同用途的产品。变频器是用于需要调速的地方,其输出不但改变电压而且同时改变频率;软启动器实际上是个调压器,用于电机起动时,输出只改变电压并没有改变频率。变频器具备所有软启动器功能,但它的价格比软启动器贵得多,结构也复杂得多。大多数软启动器在晶闸管两侧有旁路接触器触头,其优点是: 1.在电机运行时可以避免软启动器产生的谐波。 2.软启动的晶闸管仅在起动停车时工作,可以避免长期运行使晶闸管发热,延长了使用寿命。 3.一旦软启动器发生故障,可由旁路接触器作为应急备用。 1.2.3 软启动的作用 软启动器采用三相反并联晶闸管作为调压器,将其接入电源和电动机定子之间。使用软启动器启动电动机时,晶闸管的输出电压逐渐增加,电动机逐渐加速,直到晶闸管全导通,电动机工作在额定电压的机械特性上,实现平滑启动,降低启动电流,避免启动过流跳闸。待电机达到额定转数时,启动过程结束,软启动器自动用旁路接触器取代已完成任务的晶闸管,为电动机正常运转提供额定电压,以降低晶闸管的热损耗,延长软启动器的使用寿命,提高其工作效率,又使电网避免了谐波污染。软启动器同时还提供软停车功能,软停车与软启动过程相反,电压逐渐降低,转数逐渐下降到零,避免自由停车引起的转矩冲击。软起动器安装调节方便,所有控制连接及参数调节均在正面上完成。 该软启动器在安装后用户仍可方便就地改造,如:附加限流功能和内接/外接转换选择。该软起动器可不带旁路持续在线运行。软起动器为旁路和故障单独设置了控制继电器。该软起动器所有参数均通过面板上的三只旋钮电位计和一只拔码开关设定,直观准确。它甚至可以工作在有振动和环境温度较高的应用场合。当该软起动器用于内接时因可控硅模块上承受的是三角形接法时的相电流,所以相同电流的软起动器在内接时可以负载比外接时大1.73倍的电机。如一台58A的S型软起动器内接应用时可以负载100A的电机。 原则上,笼型异步电动机凡不需要调速的各种应用场合都可适用。应用范围是交流电380V (也可660V),电机功率从几千瓦到800千瓦。软起动器特别适用于各种泵类负载或风机类负载,需要软起动与软停车的场合。同样对于变负载工况、电动机长期处于轻载运行,可广泛用于纺织,冶金、石油化工、水处理、船舶、运输、医药、食品加工,采矿和机械设备等行业。 1.2.4 软启动器的优点 对于大功率异步电动机而言,软启动比硬起动(即直接起动)和传统降压起动具有以下主要优点: 1.减少起动过程引起的电网电压降使之不影响同一供电网其它电气设备的正常运行; 2.对电动机提供平滑的起动过程,降低电机起动过程中线路的冲击电流,减少电动机(传动机械)的冲击电流及对电网和配电系统的冲击,延长电动机(传动机械)使用寿命; 3.减少电磁干扰:硬起动产生的冲击电流会以电磁波的形式干扰电气仪表的正常运行; 4.有多台电动机控制功能,用一台起动器控制多台电动机的起动,起动电流、起动时间可分别设置;多种起动模式:电压斜坡起动、限流起动、脉冲突跳起动,具有软停车功能; 5.具有完善的保护功能:过载保护、断相保护、过压和欠压保护等。 1.3 国内外软启动现状 随着国民经济的飞速发展,科学技术的日新月异,智能控制系统得到了广泛的应用。如:智能大厦、无人值守泵站、无人值守供热站、各种遥控调度系统、生产作业自动化等等。 这正是国家实现科学技术现代化的重要标志,也是每一个技术人员肩负的重要责任。智能控制技术的应用,给我们提出了很多要求。如电网的波动性,执行机构的智能配套等,都要求越来越严格。作为重要驱动执行机构的电动机来说,它的控制方式受到广大技术人员的高度重视。既要为智能控制打下良好基础,又要降低电动机起动时对电网的冲击。所以,不得不在电动机的起动设备上做工作。鼠笼型异步电动机电子软启动器的诞生给技术人员解决了这个问题。它既能改变电动机的起动特性保护拖动系统,更能保证电动机可靠起动,又能降低起动冲击。我国软起动行业现状与国外有所不同,国产液态软起动装置占据了很大的市场份额。国产液态软起动装置比国外产品简单,成本更低,电解液配置不采用蒸馏水,液箱也做成不密封的。国产装置得以流行的原因是它比较适合现时我国的国情。它能够满足起码的软起动要求,售价低,维护虽然麻烦,但难度不大。 在国家政策、经济环境的影响下,用户对电气设备节能要求不断提高,加上近年来电力行业的快速发展,带动了国内用户对软起动器产品认识的提高。国内厂商开始在软起动器市场的研发、生产和制造加大投入,推出各类型6,10kV的国产固态软起动系统,促进了整个软启动市场的开发和增长。单纯起动功能的软起动器产品已经不能满足用户的需求,评选“设计师最喜爱的软起动器品牌”活动正是希望从一线设计师的工作中 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 软起动器未来发展的方向。 从国外软启动器的发展状况看,发达国家的电动机软起动产品主要是固态软起动装置和兼作软起动的变频器。在生产工艺兼有调速要求时,则采用变频装置;在没有调速要求的使用场合下,起动负载较轻时(例如风机、水泵)采用固态软起动;在重载或负载功率特别大的时候,才用变频软起动。固态软起动装置是发达国家软起动的主流产品,各知名厂家均有自己固态软起动的品牌,在其功能上又各具特色。 而液态软起动产品,由于其种种缺点,在降压软起动领域的发展空间有限。发达国家生产的液态软起动产品,一般用在中压绕线转子电动机软起动中。进口的液态软起动产品,主要是60年代到90年代的产品,在发达国家有被淘汰的趋势。 1.4 本课题的意义及主要工作 电机直接起动存在较大的冲击,消耗了大量电能。看似直接起动方式起动简单,其实电机在直接起动时会产生很大的瞬间电流冲击,造成许多危害: A(过大的热应力极易导致绕组损坏,造成绕组绝缘提前老化,从而降低电动机的使用寿命 过大的起动电流将使感应电动机的起动转矩冲击很大 B( C(过大的起动电流还造成对电网的冲击,造成能源浪费,传统降压起动方法无法从根本上解决这些问题。 因此研究交流电动机的软起动器控制系统,以此来克服上述电动机起动时的缺点,是很有现实意义和经济效益的。 课题主要工作: (a)实现交流电机软起动数字控制系统设计。 (b)采用51单片机,设计单片机及其接口电路、晶闸管主电路和驱动板。 (c)应用Keil编程软件,设计交流电机软起动器控制软件,对程序进行调试。 第二章 软启动的基本原理和特点 2.1 软启动原理 软启动是指运用串接于电源与被控电机之间的软启动器,控制其内部晶闸管的导通角,使电机输入电压从零以预设函数关系逐渐上升,直至起动结束,赋予电机全电压的起动方法。软启动器是一种集电机软启动、软停车、轻载节能和多种保护功能于一体的新颖电机控制装置,它的主要构成是串接于电源与被控电机之间的三相反并联晶闸管及其电子控制电路,通过运用不同的方法,控制三相反向并联晶闸管的导通角,使被控电动机的输入电压按不同的要求而变化,就可实现不同的功能。如图2.1所示。 图2.1基本原理图 Figure 2.1 basic principle diagram 目前使用的软启动器,基本上是以单片机作为中央控制器控制核心来完成测量及各种控制算法,用程序软件自动控制整个起动过程。它通过单片机及相应的数字电路控制晶闸管触发脉冲的迟早来改变触发角的大小,从而改变晶闸管的导通时间,最终改变加到电动机三相绕组的电压大小。由于电动机转矩近似与定子电压的平方成正比,电流又和定子电压成正比。这样,电动机的起动转矩和起动电流的限制可以通过定子电压的控制来实现,而定子电压又是通过可控硅的导通相角来控制的,所以不同的初始相角可实现不同的端电压,电动机的起动转矩和起动电流的最大值可根据负载而设定,以满足不同的负载起动要求。电动机起动过程中,晶闸管的导通角逐渐增大,晶闸管的输出电压也逐渐增加,电动机从零开始加速,直到晶闸管全导通,从而实现电动机的无级平滑起动,并使电动机工作在额定电压的机械特性上。 2.2 软启动常用的几种启动方式 1.限流起动 电动机起动时,软启动器输出电压从零迅速增加,直到输出电流上升到设定的限流值Iq,在输出电流不大于Iq下,电压逐渐上升,电机加速,直到起动完成。如图2.2所示,Iq可调,Ie为电机额定电流。此方式的优点是起动电流小,且可按需要调整,对电网影响小。缺点是在起动时难以知道起动压降,不能充分利用压降空间;损失起动力矩,起动时间相对较长,对电动机不利。 图2.2 限流起动示意图 Figure 2.2 current limiting start schemes 2.电压斜坡起动 指输出电压按预先设定的斜坡线性上升,即电压由小到大斜坡线性上升,它是将传统的降压起动从有级变成了无级。主要用在重载起动,它的缺点是初始转矩小,转矩特性抛物线型上升对拖动系统不利,且起动时间长对电动机不利。如图2.3所示。 图2.3 电压斜坡起动示意图 Figure 2.3 voltage ramp start schemes 3.突跳控制起动 也是用在重载起动,不同的是在起动的瞬间用突跳转矩克服电机静 转矩,然后转矩平滑上升,缩短起动时间。但是,突跳会给电网发送尖脉冲,干扰其它负荷,应用时要特别注意。如图2.4所示。 图2.4 突跳控制起动示意图 Figure 2.4 turkestan jump control diagram starting 4.电压控制起动 用在轻载起动的场合,在保证起动压降下发挥电动机的最大起动转矩,尽可能的缩短了起动时间,是最优的轻载软启动方式。 2.3 软启动常用的几种停机方式 1.自由停车 传统的控制方式都是通过瞬间停电完成的,即惯性停车(断电自停)。 2.软停车 如图2.5所示,在停车信号发出后,软启动器输出电压从额定电压Ue迅速降到跌落电压Usd,再按所设定的时间降到起始电压Ui,软启动器停止输出。 这种停车方式可以消除由于自由停车带来的拖动系统反惯性冲击,如皮带运输机、升降机等许多负荷并不宜突然停机,高层建筑的水泵系统也因自由停车,而产生巨大的“水锤”效应,使管道、水泵损坏。软停车功能正好能满足此要求。晶闸管在收到软停机信号后,导通角渐减,经一定时间才过渡到全关, 即电动机端电压渐减至零,停车时间可按实际需要设定。 图2.5 软停车示意图 Figure 2.5 soft parking schemes 3.制动停车 向电机输入直流电流,从而加快制动,制动时间可调,主要用于惯性力矩大的负载或需快速停机的场合,在一定的场合代替了反接制动停车。 2.4 接触器旁路工作 软启动器有在线型和旁路型。在线型是指起动完毕,不需要触器旁路,一直带电工作的工作方式,晶闸管长期在线运行功耗太大造成能源浪费、给电网带来高次谐波污染等。旁路型是为了延长使用寿命,使电网避免谐波污染,减少软启动器中的晶闸管发热损耗,在电动机达到满速运行时用旁路接触器取代已完成起动任务的软启动器。旁路型电路复杂化,系统可靠性降低。 2.5 软启动的基本接线 KRQS110/P型软启动器基本接线示意图如图2.6所示: 图2.6 基本接线示意图 Figure 2.6 basic wiring diagram 全数字电子软启动器不仅能有效控制鼠笼式三相异步电动机起动电流,减缓电流对电动机和电网的冲击,还能在起动和运行过程检测电流、电压参数,对异常情况进行处理、显示及报警,实现对电动机的综合保护。 三相鼠笼式异步电动机以其结构简单、性价比高和工作特性好等诸多优点,在当今工业应用的各个领域都有广泛的应用,但它有一明显缺点,就是起动电流过大(一般起动电流为额定电流的5,7倍甚至更大),这样不论是对电动机本身,还是对电网以及其他电气设备,都会产生不利的影响。 电子软启动器的诞生,已经从很大程度上提供了解决这个技术难题的有效手段,而且近年来随着电力电子技术以及智能控制技术的不断发展,电子软启动器已经逐步取代了传统的 起动方法,例如“Y,?”降压起动、自耦变压器降压起动以及磁性调压起动等。所谓电子软启动器,就是使用晶闸管调压技术,采用单片机控制的起动器,在用户规定的起动时间内自动地将起动电压连续平滑地上升,直到达到额定电压,从而达到有效控制起动电流的目的。 2.6电机软启动方式的选择 作为应用最广泛的鼠笼型异步电动机,它采用降压起动的条件:一是电动机起动时,机械不能承受全压起动的冲击转矩;二是电动机起动时,其端电压不能满足规范要求;三是电动机起动时,影响其他负荷的正常运行。 对于降压起动目前有两种方式,一种是降压起动,一种是软启动。他经过了三个发展阶段,一是“ Y-Δ” 起动器和自藕降压起动器,二是磁控式软启动器,三是目前最先进最流行的电子软启动器。电子软启动器一般都是采用16位单片机进行智能化控制,他既能保证电动机在负载要求的起动特性下平滑起动,又能降低对电网的冲击,同时,还能实现直接计算机通讯控制,为自动化智能控制打下良好的基础。 它们的造价比较是:“ Y-Δ”起动器须六根出线而且故障率太高,维修费也高已不常采用,自藕方式每个千瓦80元左右,磁控的每千瓦150元左右,自藕和磁控的体积较大且故障率较高,维修费较高,电子软启动器每个千瓦在100元到200元之间,一般情况下,一台开关柜能放多台电子软启动器,节省工程造价,且故障率较低,维修费也低。所以,电子软启动器应是我们首选的目标。 2.7 与传统启动的比较 笼型电机传统的减压起动方式有 Y-? 起动、自耦减压起动、电抗器起动等。这些起动方式都属于有级减压起动,存在明显缺点,即起动过程中出现二次冲击电流。由于传统的减压起动方式技术落后,国家已明令淘汰。 软启动与传统减压起动方式的不同之处是: (1)无冲击电流。软启动器在起动电机时,通过逐渐增大晶闸管导通角,使电机起动电流从零线性上升至设定值。对电机无冲击,提高了供电可靠性,平稳起动,减少对负载机械的冲击转矩,延长机器使用寿命。 (2)有软停车功能,即平滑减速,逐渐停机,它可以克服瞬间断电停机的弊病,减轻对重载机械的冲击。 (3)起动参数可调,根据负载情况及电网继电保护特性选择,可自由地无级调整至最佳的起动电流。 2.8 单片机的简单介绍 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 2.8.1 单片机的介绍 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。 早期的单片机都是8位或4位的。其中最成功的是INTEL的8031,因为简单可靠而性能不错获得了很大的好评。此后在8031上发展出了MCS51系列单片机系统。基于这一系统的单片机系统直到现在还在广泛使用。随着工业控制领域要求的提高,开始出现了16位单片机,但因为性价比不理想并未得到很广泛的应用。90年代后随着消费电子产品大发展,单片机技术得到了巨大提高。随着INTEL i960系列特别是后来的ARM系列的广泛应用,32位单片机迅速取代16位单片机的高端地位,并且进入主流市场。而传统的8位单片机的性能也得到了飞速提高,处理能力比起80年代提高了数百倍。目前,高端的32位单片机主频已经超过300MHz,性能直追90年代中期的专用处理器,而普通的型号出厂价格跌落至1美元,最高端[1]的型号也只有10美元。当代单片机系统已经不再只在裸机环境下开发和使用,大量专用的嵌入式操作系统被广泛应用在全系列的单片机上。而在作为掌上电脑和手机核心处理的高端单片机甚至可以直接使用专用的Windows和Linux操作系统。 单片机比专用处理器更适合应用于嵌入式系统,因此它得到了最多的应用。事实上单片机是世界上数量最多的计算机。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作~单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用 相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可......用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影~......它主要是作为控制部分的核心部件。 它是一种在线式实时控制计算机,在线式就是现场控制,需要的是有较强的抗干扰能力,较低的成本,这也是和离线式计算机的(比如家用PC)的主要区别。 图2.7 单片机芯片 Figure 2.7 single-chip microcomputer chip 单片机是靠程序运行的,并且可以修改。通过不同的程序实现不同的功能,尤其是特殊的独特的一些功能,这是别的器件需要费很大力气才能做到的,有些则是花大力气也很难做到的。一个不是很复杂的功能要是用美国50年代开发的74系列,或者60年代的CD4000系列这些纯硬件来搞定的话,电路一定是一块大PCB板~但是如果要是用美国70年代成功投放市场的系列单片机,结果就会有天壤之别~只因为单片机的通过你编写的程序可以实现高智能,高效率,以及高可靠性~ 由于单片机对成本是敏感的,所以目前占统治地位的软件还是最低级汇编语言,它是除了二进制机器码以上最低级的语言了,既然这么低级为什么还要用呢,很多高级的语言已经达到了可视化编程的水平为什么不用呢,原因很简单,就是单片机没有家用计算机那样的CPU,也没有像硬盘那样的海量存储设备。一个可视化高级语言编写的小程序里面即使只有一个按钮,也会达到几十K的尺寸~对于家用PC的硬盘来讲没什么,可是对于单片机来讲是不能接受的。 单片机在硬件资源方面的利用率必须很高才行,所以汇编虽然原始却还是在大量使用。一样的道理,如果把巨型计算机上的操作系统和应用软件拿到家用PC上来运行,家用PC的也是承受不了的。 可以说,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦 称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。 2.8.2单片机的硬件特性 1、单片机集成度高。单片机包括CPU、4KB容量的ROM(8031 无)、128 B容量的RAM、 2个16位定时/计数器、4个8位并行口、全双工串口行口。 2、系统结构简单,使用方便,实现模块化; 3、单片机可靠性高,可工作到10^6 ~10^7小时无故障; 4、处理功能强,速度快。 2.9单片机的选择 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。所以我们选择AT89C51单片机。 AT89C51的主要特征: (1)与MCS-51 兼容 (2)4K字节可编程闪烁存储器 (3)寿命:1000写/擦循环 (4)数据保留时间:10年 (5)全静态工作:0Hz-24Hz (6)三级程序存储器锁定 (7)128*8位内部RAM (8)32可编程I/O线 (9)两个16位定时器/计数器 (10)5个中断源 (11)可编程串行通道 (12)低功耗的闲置和掉电模式 (13)片内振荡器和时钟电路 (14)AT89C51管脚说明: (15)VCC:供电电压。 (16)GND:接地。 1.P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 2.P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 3.P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 4.P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 第三章 软启动控制系统硬件设计 3.1 电机软启动系统原理方框图 基于单片机的电机软启动系统原理方框图如图3.1所示。本系统以89C51单片机为核心组成晶闸管触发控制系统, 该系统能实现复杂的控制算法, 从而完成复杂的控制任务。该晶闸管装置采用反并联方式。该装置可在异步电动机启动和停止过程中将继电器断开, 并通过晶闸管三相交流调压装置对电机进行控制,而在电机正常运行过程中将继电器接通, 并把三相交流电直接加到电动机上。这样, 既能保证电动机的软启动与软停止, 又能在电动机正常运行时用继电器短接晶闸管装置, 从而去除晶闸管装置的附加能耗, 提高系统的可靠性。单片机控制系统实现的功能主要是读取启动停止信号, 通过输入的同步信号并按照一定的规律产生晶闸管触发控制信号和继电器的控制信号, 同时进行状态和故障显示。单片机产生的触发脉冲经光耦隔离后, 可用于触发主电路的晶闸管。单片机则可根据检测得到的输入信号, 来对电机进行继电保护。 图3.1 电机软启动系统原理方框图 Figure 3.1 motor soft start-up system principle charts 3.2 硬件设计 该系统的硬件部分主要包括单片机AT89S51和Altera公司的CPLD器件EPM7128 SLC84—15为核心器件,辅以外围电路。其中单片机主要实现监控和算法生成并完成数据处理工作, CPLD负责软起动器触发脉冲及单片机逻辑扩展。 3.2.1 单片机的选型 本系统中单片机主要用来作数据处理及读取按键信号和故障信号显示的功能,所以我们只要考虑它的运算速度、存储容量以及I,O口的数量即可。考虑到这些因素,我们采用了ATMEL公司的产品AT89S51芯片作为控制系统的核心控制部件。AT89S51兼容标准MCS(51指令系统及89C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,体积小,功能全,适合于机电一体化的智能控制。物美价廉而功能强大的AT89S51为许多嵌入式控制应用系统提供高性价比的解决方案。AT89S51单片机是一种低功耗、高性能的8位微控制器,其主要部分包括:内部包含有1个8位CPU、振荡器和时钟电路、4K字节的Flash片内程序存储器、128字节的随机存取数据存储器(RAM),2个外部双向输入,输出(I,O)口,5个中断优先级和2层中断嵌套中断,2个16位J编程定时器,计数器,2个全双上串行通信口,看门狗(WDT)电路。此外,AT89S51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能自至外中断激活或硬件复位。AT89s51封装及主要引脚功能说明:PO口:P0口是一组8位漏极开路型双向加口,即地址,数据线复用口,每脚可吸收81TL门电流。对端口PO写“1“时,可作为高阻抗输入端用。PO能够用于外部程序数据存储器,它可以被定义为数据,上电址的低8位。P1口:P1是一个带内部上拉电阻的8位双向I,0口,P1口输出缓冲级可驱动4个11'L逻辑电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。Pl口被外部下拉为低电平时,将输出电流。在FLASH编程和校验时,P1口作为低8位地址接受。P2口:P2是一个带有内部上拉电阻的8位双向I,0口,P2的输出缓冲级可驱动4个rrL逻辑电路。对端口P2写?1’,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。在访问外部程序存储器或16位地址的外部数据存储器,P2口送出高8位地址数据。P3口:P3口是一组带有内部上拉电阻的8位双I,0口。P3口输出缓冲级可驱动4个1]限逻辑门电路。对P3口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。P3口也可作为AT89S51的一些特殊功能口。ALE,PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。再FLASH编程期间,此引脚用于输入编程脉冲。一般情况下,ALE端以不变的频率周期输出固定的脉冲信号,此频率为其频率的1,6。因此它可用作对外输出时钟或用于定时口的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。如想禁止ALE的输出可再SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC指令时ALE才起作用。另外,该引脚略微拉高。如果微处理器在外部执行状态ALE禁止,值位无效。 图3.2 AT89C51单片机引脚图 Figure 3.2 AT89C51 pins figure 3.2.2 单片机的连接电路图 单片机的连接电路图如图3.3所示。 C3 C4 +15V 27p 27p X1 +15V U4 U1 D[0..7] 19 1 20 XTAL1 AD[0..7] D[0..7] CS CS VCC 2 19 WR WR1 BYTE1/2 XFER 3 18 A[8..15] A[8..15] GND WR2 WR 11.059MHz 18 4 17 XTAL2 D3 DI7 XFER A[8..15] 5 16 D2 DI6 DI8 (DI0) D4 30 6 15 U3:A ALE D1 DI5 DI9 (DI1) D5 8 31 7 14 OP285GP EA D0 DI4 DI10 (DI2) D6 9 29 8 13 RST PSEN VREF VREF DI11(DI3) D7 9 12 3 C5 RFB IOUT2 10 11 1 1uF GND IOUT1 2 1 10 DAC1230 P1.0/T2 P3.0/RXD 2 11 4 P1.1/T2EX P3.1/TXD 3 12 C2 P1.2/ECI P3.2/INT0 4 13 10pF P1.3/CEX0 P3.3/INT1 5 14 R6 R2 P1.4/CEX1 P3.4/T0 6 15 WR 20k 1M P1.5/CEX2 P3.5/T1 7 16 RV1 P1.6/CEX3 P3.6/WR WR 8 17 P1.7/CEX4 P3.7/RD 1k -15V 20% CS AT89C51RD2 CS R3 U5:A U6:A 4 24.9k U3:B 2 4 A15 A Y0 U7:D S 3 5 2 5 A14 B Y1 D Q OP285GP VOUT 6 13 6 Y2 1 7 3 11 7 E Y3 CLK 12 5 74HC139 6 R Q 74HC00 R4 1 U7:C 74HC74 Address: 0xF000-0xF7FF 49.9k 10 8 R5 U5:B U7:A 9 U7:B 49.9k 14 12 1 XFER A13 A Y0 -15V 13 11 4 3 74HC00 A12 B Y1 XFER 1 10 6 2 Y2 UNUSED GATES 15 9 5 A11 E Y3 74HC00 U2 0 V+ U6:B 1 74HC139 74HC00 2 VOUT AD581 R1 S GND 12 9 C1 D Q 2.2k 3 0.1uF 11 CLK VREF 8 R Q VREF 3 -15V +15V 1 74HC74 U4 U5 U6 U7 C8 C9 C10 C11 C7 C6 0.1uF 0.1uF 0.1uF 1uF 0.1uF 0.1uF 图3.3 单片机的电路连接图 Figure 3.3 MCU circuit connection diagram 3.2.3 可编程逻辑器件的选型 目前,CPLD,FPGA是两种常用的可编程逻辑器件,两者在价格、性能、逻辑规模和封装等方面各有千秋,不同的开发项目,必须作出最佳的选择。在应用不发中一般应考虑以下几个问题”’: 1.器件的逻辑资源肇的选择; 2(芯片速度的选择; 3(器件功耗的选择。 CPLD和FPGA在灵活的用户可编程特性、快速的设计和诊断能力上极其相似,因此常相提并论。但实际上,二者的逻辑结构和互连结构却存在本质的区别,这导致它们在速度与性能、擅长的设计领域、设计方法、设计周期、功耗,编程工艺等方面拥有各自的特点。CPLD的逻辑结构属于逻辑丰富型粗粒结构;FPGA则属于寄存器丰富型细粒结构;CPLD集总式的互连结构使其具有延时可预测性,同时限制了其规模;FPGA分布式的互连结构使其延时与逻辑布局有关可预测性较差;在编程工艺方面CPLD编程工艺类型有EPROM型、E2PROM型和Flash型,可以反复编程,但一经编程,片内逻辑就被固定,除非擦除重写,具有可靠性高,可以加密的优点,同时也具有占用面积大,功耗大,相同集成规模芯片中触发器数目较少,编程信息在使用时不能变化等缺点;FPGA编程工艺类型有SRAM型和反熔丝型,对于SRAM型来说,掉电后信息不能保存,必须与存储器联用来存放编程数据,具有通过更换存储器来实现不同逻辑和触发器数目多,功耗低等优点以及每次上电时必须先对芯片进行配置才可以使用的缺点。而反熔丝型则只允许一次编程,具有成本低,性能稳定可靠的优点和不能反复编程的缺点。当然,新一代CPLD和FPGA芯片在制造工艺上相互取长补短,二者之问的界限也不是绝对的了。 在速度方面,FPGA的细粒结构决定其单元速度要远远高于粗粒结构的CPLD,但对于多级逻辑来说,随着设计密度的增加,FPGA互连结构将使其路由延迟也快速增加,而CPLD的粗粒结构和路由方式所带来的互连速度能很好适应这类设计:在擅长领域方面,CPLD是粗粒结构,扇入扇出都比较大,片内逻辑门丰富,而且时延具有可预测性,这些特点使其正适合于输入密集、逻辑复杂的控制密集型系统,FPGA的逻辑块扇入扇出都很小,片内寄存器丰富,逻辑块的单元速度也相当高,适合于逻辑级数少的数据密集型系统。 在设计周期上,CPLD的互连路由结构使得布放设计任务容易进行,软件编译比FPGA快,延时可预测,而对FPGA,除了逻辑设计外,还要进行延时设计,而逻辑布局和延时又是相互关联的。这样,往往需要经过多次试验才可以得出最佳解决方案,因此,一般而言,CPLD的设计周期比FPGA短。 并且由于CPLD在中小规模范围内价格比较便直,能奇接用于系统,上市3I速度快,市场风险小;开发CPLD的EDA软件比较容易得到;CPLD的结构大多为E2PROM或Flash ROM形式,编程后即可屈定下载的逻辑功能,使用方便,电路简单;目前常用的CPLD多为在系统可编程的硬件器件,编程方式极为便捷;CPLD中有专门的布线区和许多块,无论实现什么样的逻辑功能,或采用怎样的布线方式,引脚至引脚间的信号延时几乎是固定的,与逻辑设计无关,这使得设计调试比较简单,逻辑设计中的毛刺现象比较容易处理,廉价得CPLD就能获得比较高速的性能。而对于大规模的逻辑设计、ASIC设计或单片机系统设计,则多采用FPGA。由于在本系统设计中,可编程逻辑器件主要完成数据接收锁存、计数器、计数比较输出等逻辑功能,对时序要求比较高,通过比较它们各自的优势,认为选择CPLD器件更加合适。 确定了系统所需的资源、工作速度以及规模的大小,就可以选择CPLD器件了。考虑到本 系统所需的FO口数,以及价格等方面的因素,故选用Altcra公司的MAX 7000系列器件EPM7128SLC84—15,封装为PLCC(84针。EPM7128sLc8禾15是Altera公司生产的第二代产品,以多阵列矩阵(MAX)结构为基础,高性能CMOSEEPROM可电擦除。该型号的芯片主要特征简单描述如下: (1)系统的时钟频率可达178MHz; (2)采用CMOS E2PROM工艺,传输延迟仅为5ns; (3)内部具有丰富的资源一128个触发器、2500个用户可编程I'-J; (4)而且具有68个用户可编程的I,O口,为系统定义输入、输出和双向口提供了极大的方便; (5)为了比较适合混合电压系统,通过配置,输入引脚可以兼容3(3V,5V逻辑电平,输出可以配置为3(3V,5V逻辑电平输出。 (6)100,功能可测,全兼容PCI接口标准。 (7)EPM7128同时还提供了JTAG接口,可进行ISP编程,极大地方便了用户。凡Altera公司生产的CPLD可编程逻辑器件名称后带s的芯片,均支持在线现场可编程操作,只要用一根专用电缆接到芯片的特定引脚上,无需拆下芯片,不需要编程器及芯片适配器,通过上位机打印口就可对芯片编程。这对教学、科研样机研制、产品维修、产品升级带来极大的方便。设计工具选择Altera公司的第三代软件不发环境MAX+plus 11,设汁输入采用VHDL输入方式。 3.3 软起动控制系统硬件电路设计 3.3.1 软起动控制系统框图 软起动控制系统框图如图3.4所示。整个系统主要由三相晶闸管主电路、电压、电流检测电路,同步电压采集电路、单片机控制电路,触发脉冲产生电路、脉冲隔离整形电路、人机接口等组成。根据系统需求,采用Atmel的AT89S51作为控制器,89S51内含有8kB的存储空间,可以实现异步电动机软起动的输出算法。外围电路主要包括键盘控制和显示、A,D转换等模块。用户在按下功能键后,通过自增和自减键设置起动时间和起动参数并保存,以备系统运行时调用。在发出起动命令后,单片机调用E2PROM中的运行参数并进行相关计算,确定触发脉冲产生模块的控制数字值,通过单片机总线送到CPLD触发器,触发器发出脉冲经隔离整形电路来触发可控硅。起动结束后,由控制器发出信号,使交流接触器吸合,反并联晶闸管暂停工作,由电源直接供电,使电动机正常运行(软起动器在电动机起动结束后,可以对电动机运行参数继续监视,对各种故障进行保护。当需要停车时,由控制器发出停车信号后,反并联晶闸管迅速投入工作,真空接触器断开。软起动器按预先设定的停止时间和停止方式实现停车。这种做法既能保证电机的软起动,软停车,又能在电机正常运行使用接触 器短接可控硅装置,从而去除了可控硅装置的附加能耗,减少散热器的体积,提高系统运行的可靠性。 图3.4 系统结构框图 Figure 3.4 system structure diagram 3.3.2 软起动主电路及触发电路 晶闸管降压软起动主电路如图3.5示,其中M是异步电动机,晶闸管KPl,KP6组成移相控制的三相交流调压电路,利用品闸管进行调压,其输出电压大小由晶闸管的导通角决定,而晶闸管的导通角又与其触发角有关。触发角越小,输出越大。因此,只需在电动机起动过程中通过控制晶闸管触发角的大小,不断改变晶闸管的导通角来改变输出电压波形,从而改变输出电压的有效值。随着输出电压的增加,电机转速不断上升。而电机定子电流的大小J下比于定子端电压,起动仞期,电机端电压较小,冲击电流电小,随着电机定子端电压的不断增加,定子电流也不断增加,最终达到额定转速,实现了电机的软起动。在每一瞬间,在三相交流调压电路中,至少要有两个器件导通,它们应处于不同的相,其中至少有一个是流 向负载端,同时有另一个流向电源。在电路的正常工作状态下,6个晶闸管按照KPI、K_P2、KP3、KP4、KP5和KP6的顺序循环触发导通,而且相邻的两个晶闸管触发时刻之间相差600电角度。三相调压起动其实质是降压起动,与传统降压起动不同之处是无机械触点,起动电压和起动电流任意可调。图中F为快速熔断器,RZ为压敏电阻,KP为晶闸管,另外还有并联于晶闸管两端的RC保护电路。理论上讲,本起动器可起动各种容量的三相异步电动机,针对不同的容量,CPLD实现的电路(包括软件控制思想)均可不变,只要重新设计一下主电路即可,其中各元件的选择取决于被控电动机的容量。 图3.5 主电路图 Figure 3.5 main circuit diagram 本系统的总电路图如图3.6所示。 图3.6 软启动系统总电路 Figure 3.6 soft start the system total circuit 触发电路如图3.7所示。 图3.7 触发电路图 Figures 3.7 trigger circuit diagram 1(晶闸管主要参数的选择: (1)通态平均电流Ita 通常,晶闸管的额定电流定义为在环境温度为?40"C和规定的冷却条件下,带电阻性负载的单相工频正弦半波电路中,管子全导通f导通角不小于1706)而稳定结温不超过额定值时所允许的最大平均电流。根据实际电路考虑,在软起动器工作时,每相回路中两个反并联晶闸管的导通角范围分别为O。,180。,故晶闸管所允许的最大电流平均值发生在导通角为180。时,电流容量ITa由下式确定:ITa=(2,3)I,Kf 其中,ITa为晶闸管流过每相回路的电流有效值;Kf为波形系数,此时等于1(57;电机启动时的最大电流为7IN,而系统可限制启动电流在2(5,3(6 IN,即I=2(5,3(6 IN (2)晶闸管的耐压选择 精确设计晶闸管的耐压值比较困难,这是因为它不仅和回路的接法有关,同时还与电动机的容量、励磁电流等数值有关。一般在考虑有过电压吸收回路的情况下,所选用的晶闸管的额定电压为:UN=(2,3)xV2U( 其中,UN为晶闸管在实际工作中可能承受的最大电压。 2(晶闸管的保护电路 晶闸管承受过电压和过电流的能力较差,很短时间的过电压和过电流就能把器件损坏。为了使器件能够可靠地长期运行,除了充分留有余地的合理选择晶闸管外,必须对其进行恰 当的过电压和过电流保护。 (1)过电压保护 凡是超过晶闸管在正常工作时承受的最大峰值电压uN的都算过电压。一种是由于晶闸管装置的拉闸、合闸和器件关断等电磁过程引起的过电压,叫操作过电压;另一种是由于雷击等原因从电网侵入的偶然性的浪涌电压;它可能比操作过电压还要高。针对以上两种过电压采用不同的保护 措施 《全国民用建筑工程设计技术措施》规划•建筑•景观全国民用建筑工程设计技术措施》规划•建筑•景观软件质量保证措施下载工地伤害及预防措施下载关于贯彻落实的具体措施 。 压敏电阻保护主要是针对浪涌过电压进行保护,其在起作用时相当于一个双向稳压二极管,它的工作曲线如图3.8所示。 图3.8 压敏电阻工具曲线 Figure 3.8 thermistors tools curve 由图3.8我们可以看出,它对晶闸管的保护作用在于:当压敏电阻两端的电压由于任何原N:fI-高到稍大于标称电)压UlmA时,ZRI作于A,B或C,D段,工作状态近似于稳压二极管的击穿,此时流过很大的放电电流,从而限制电压在某一安全值的范围内,保护晶闸管不因过电压而损坏。选择压敏电阻的主要参数是标称电压UlmA和通流容量,并且保证其残压较小。器件侧阻容保护主要是针对操作过电压。晶闸管在承受反向电压关断时,由于电流很快被截止,就在负载电感L_E感应出高电压,并且使流过晶闸管的di,dt增大。在器件侧并联上RC串联回路,可显著减dxdi,dt,从而抑制过电压,同时电阻R可限制关断的晶闸管再次导通时电容c向晶闸管放电。根据经验选择R、C、PR,及Uc的值。 (2)过电流保护 快速熔断器是晶闸管装置中应用最广泛的过电流保护措旃,它的熔断时间在 lOms以内。在选择时,快熔的额定电压大于线路的正常工作电压,熔体的额定电流Ikp按经验应满足1(57ITa>Ikp>IT,其中IT是晶闸管的实际工作电流的有效值。 3.3.3单片机控制电路 AT89S51主要用于读取按键信号,并能进行电流及故障的显示,接收经A,D转换的电流反馈量,根据不同的触发方式,能对触发脉冲产生模块传输不同的触发角信号,并且可根据检 测到的电压、电流信号进行故障检测。同时,当软起动结束,对交流接触器发出控制信号,使交流接触器吸合,三相反并联可控硅装置停止工作。AT89S51主要完成触发角数字信号的传输、信息的读取及故障检测,单片机控制系统框图如图3.9所示。 Po口工作于地址和数据总线状态; P1口工作于键盘输入和工作状态输出; P2口工作地址和数据总线状态,可接收经模,数转换得到的数字信号。INT0外部中断作为电路外部故障信号的输入脚。当故障发生时,由外部中断输入引脚的信号变化向CPU提出中断请求,CPU相应中断,并作一定的算法处理;P1(7作为品闸管触发脉冲的控制命令输出。当系统正常工作时,输出低电平,通过与非门后,连接到CPLD的EN引脚,释放脉冲;P1(6与,WR信号经逻辑运算后接CPLD的片选信号; 图3.9 单片机控制电路 Figure 3.9 single-chip microcomputer control circuit 单片机通过数据总线向CPLD触发角产生单元送数据信号,触发角产生信号根据此值大小控制晶闸管导通角。其中E2PROM2864起到掉电数据保护的作用。用户起动电机时设定了起动参数,希望掉电后重新上电使能恢复掉电前的状态。本系统采用E2PROM2864作为掉电保护芯片,存储用户设置的起动参数。2864擦写时问不超过10ms,而读取时间只有150ns,擦写次数达10万次,满足本系统参数存储的要求。当每次设定结束后,就将设定值存入2864中,下次开机时系统自动读取,这样可减少每次开机都要重新设定的麻烦。 3.3.4 三相同步信号采集电路 三相同步信号作为触发脉冲计数的起始点,其精确性决定了触发脉冲的精确性,本系采用了以光耦为中心的同步电路,由光耦隔离传输到次级,形成触发电路所需的同步信号。其 工作原理如图3.10所示。 图3.10 三相同步信号产生电路 Figure 3.10 three-phase sync signal circuit 3.3.5脉冲放大整形电路 为保证触发电路的工作安全,应使触发电路与主电路隔离。电气隔离可减少主电路对触发电路及控制电路的干扰,提高电路的可靠性。如图3.11所示,图中v1为输出级功率放大晶体管,电阻R2和电容C构成一个微分电路,用来提高脉冲前沿的陡度;电阻R1和二极管Dl起保护作用,防止在晶体管V1关断的瞬间在脉冲变压器的原边感应出的高压击穿三极管V1,提供放电回路;二极管D2用于防止三极管V1关断时在脉冲变压器的副边感应出的高压加在晶闸管的门极;发光二极管D4电阻R3用于灯光指示,在脉冲回路正常工作时,该路发光管将点亮:脉冲变压器T起电气隔离作用,防止由于晶闸管的损坏而将高电压串至脉冲回路;P端接脉冲控制信号。 图3.11 脉冲放大整形电路 Figure euro3.11 pulse amplification plastic circuit 3.3.6 检测电路 电压电流的采集主要用于实现起动算法和各种保护,包括电压、电流的采样及模数转换电路。 1.电压检测 电压检测是为了得到感应式异步电动机轴端的交流电压。用电压互感器测出电压,然后将所得的交流小电压信号经整流桥整流,变成直流电压,经过滤波电路后送到模数转换电路。 2.电流检测 电流检测为控制部分提供一个与主电路工作电流大小成比例的取样值,一是为限电流起动提供反馈信号;二是为过电流保护、缺相保护、过载保护等提供反馈信号;三是用于运行状态的指示。电流检测采用两级电流互感器来实现,第一级瓦感器将主电路的大电流转换成最大值为5A的二次电流,第二级将5A的电流转换成最大值为100mA的电流信号,小电流信号经过整流滤波后送到模数转换电路。 图3.12 电流检测电路 Figure 3.12 current detection circuit 3.3.7 A,D转换电路 P2口作为A,D采样输入口,采样主电路中的工作电流信号,用于限流控制、过流保护、过载保护。本系统模数转换采用ADC0809,ADC0809足带有8位A,D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A,D转换器,可以和单片机直接接口。其主要特性: 位A,D转换器,即分辨率8位; (1)8路8 (2)具有转换起停控制端; (3)转换时间位100us; (4)单个+SV电源供电; (5)模拟输入电压范围O,+5V。不需零点和满刻度校准; (6)工作温度范围位--40,+85摄氏度: (7)低功耗。图3.13为ADC0809引脚图。 IN0--1N7:8条模拟量输入通道。ADC0809对输入模拟量要求:信号单极性,电压范围是0—5v,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 图3.13 ADC0809引脚图 Figure 3.13 ADC0809 pins diagram 地址输入和控制线:4条。ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0--IN7上的一路模拟量输入。 数字量输也及控制线:1l条。ST为转换启动信号。当ST上跳沿时,所有内部寄存器清零; D转换;在转换期间,ST应保持低电平。EOC为转换结束信号。当EOC下跳沿时,不始进行A, 为高电平时,表明转换结束:否则,表明正在进行A,D转换。OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE----1,输出转换得至4的数据;OE=0,输出数据线呈高阻状态。D7--D0为数字量输出线。CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ,VREF(+),VREF(一)为参考电压输入。 4路模拟信号由ADC0809的IN0,IN3端输入,89S51的ALE端输出的脉冲信号送ADC0809的CLK脚作为ADC的时钟信号。在A仍转换时,89S51的P1(7发出片选信号,并由引脚P2(1、P2(0发出通道选择信号,分别送ADC0809的通道地址输入端A、B,选择要进行A,D变换的模拟通道,然后发出面蠢信号,经或非门送ADC0809的START和ALE端,A,D转换即被启动;A,D转换完成之后,从EOC端返回89S51一个转换结束信号,单片机随即用i石信号将A,D转换的数字输出从 端经P2口数据总线读入自己的存储器中,A,D转换过程全部结束。 D0,D7 3.3.8 键盘与显示电路 本系统设置7个按键,均为单一的控制键,分别为起动键、停止键、功能键、增一键、减一键、分类键、和复位建。这7个按键通过一片8位缓冲器74LS244挂在89S51的数据线上。通过74LS244读到数据线上的数据,某位为0,则表明其对应的按键按下。液晶显示采用的是GDM0801B,这是一块5×8点阵的单行液晶模块,内置控制器KS0066U,单+5V供电和标准的接口特性,其与89S51的连线如图3.14所示。其中EN引脚的控制上比较复杂,当程序对LCD读取与写入数据时,CPU的读写信号与译码器出来的片选信号配合产生使能信号送到LCD的EN端,如果直接将片选信号经反相器送往EN引脚,是无法正确控制LCD电路工作的。 图3.14 LCD显示模块与CPU连接图 Figure 3.14 LCD display module and CPU connection diagram 第四章 软启动控制系统软件设计 由于本软起动控制系统是由单片机和CPLD共同构成,单片机主要完成数据的传输、控制信号的读取以及故障信号的显示等;而CPLD主要产生6路触发脉冲、精确的同步信号等,因此软件设计部分包括单片机程序的编写和CPLD程序的编写。 4.1单片机控制部分程序设计 4.1.1 主程序的编写 系统软件部分由主程序和中断程序组成,系统的主程序如图4.1所示。 图4.1主程序流程图 Figure 4.1 main program flow chart 主程序完成的功能:初始化后,首先判断是否有故障,如果有故障就关机检修,确定无故障,给出准备就绪信号;读取起动方式,对各参数的整定值进行采样,根据给定的初始电流值的大小确定晶闸管的起始触发角;判断起动按钮是否按下;调起动程序:判断起动是否完成,若完成,将交流接触器吸和,进行数据采集处理及显示,并对故障进行检测,如果有故障直接停车,否之,读入停车方式进行停车:若起动未完成,判断参数是否需要调整,根据调整的参数进行起动。 4.1.2 软起动子程序 本软起动控制系统可实现两种软起动方式:斜坡电压软起动和限流软起动。 (1)斜坡电压起动 斜坡电压起动模式是指在起动过程中,通过控制晶闸管导通角,使电动机端电压从初始电压开始平滑无级上升至电源电压。斜坡电压软起动采用的触发规律是线性的减小触发角,经分析可得斜坡电压起动的数学模型 α=α+k(U-U) imini 其中,α:第i次触发角 i U:电源线电压,U=380V U:上升阶段的电压值 i α,α :最小、最大触发角。 minmax 当U等于初始电压U时,α =α 。此时若取定α,α和U的值,由上式,就可以求i0imaxminmaxi 得k。可将α的数值做成表格,软起动器运行是直接从查表取得触发角q的值。在实际编程时,i 将α换算成相应计数器的计数值,以便CPLD的读取,用于触发脉冲产生模块进行数掘比较,i 产生触发脉冲。 (2)限流起动 限流起动通过降低电动机端电压,以限制起动电流不超过用户设定的最大值,主要用于电动机的轻载起动。起动过程中,软起动器将起动电流作为控制对象。通过电流环调节起动电流不超过用户设定值,以充分利用降压空问。限流软起动模块限制起动过程中的高冲击电流,提高效率,减小起动转矩,同时避免对电网的严重影响。本系统采用数字PI调节算法,构造导通角与电流关系函数如下: θi=θi-1+Δθi=θi-1+kp(ei-e i-1)+kiei 其中,θi-1,θi:分别为第i-1,i次的导通角 kp:比例积分 ki:积分系数,ki=kp*Ts/Ti 求出导通角后根据θ=π-α+ψ,其中续留角ψ一般取为20。到220,就可求出触发角的值。同样在实际编程时,将触发角的值换算成相应计数器的值,以便触发脉冲产生模块的读取。软起动子程序流程图如图4.2所示。 图4.2 软起动子程序流程图 Figure 4.2 soft starter subroutines flow chart 4.1.3 CPLD器件分解结构图 本设计利用VHDL语言进行CPLD设计,其中VHDL编程、功能仿真、逻辑综合、时序仿真及装配编程在EDA开发软件中完成。在设计比较复杂的系统时,往往将其分解成若干个自系统,子系统再分解为功能独立的模块。这些模块经设计、仿真、功能验证正确后,进行元件例化拼接,完成特定的系统功能。本系统及采用这种方法。CPLD器件功能分解结构如图4.3所示。 图4.3 CPLD器件分解结构图 Figure 4.3 CPLD device breakdown structure 4.1.4 同步信号产生模块 无论是晶闸管模拟触发器还是晶闸管数字触发器,如何准确的采集到电源同步信号对电源频率进行锁相,是决定晶闸管导通精度和对称度的关键。传统的晶闸管模拟触发器一般由阻容移相、单结晶体管、晶体管或KC系列移相触发电路等构成的,这种电路容易受电网电压波形的影响,当同步电压波形发生畸变时,就会影响触发精度。由于晶闸管的触发信号是以同步电压信号为基准延迟一定的相位角,因此应采用电压过零检测。一般以同步电压过零点作为触发电路的相位延迟基准,因此检测的任务就是要测量同步电压过零的时刻,以此点作为晶闸管触发相位的起始点。相位控制要求以变形电路的自然换相电(即用二极管替代晶闸管时对应位雹二极管导通的时刻)为基准,经过一定的相位延迟后,再输出触发信号使晶闸管导通。在实际应用中,自然换向点是通过同步信号给出,由此可见同步信号对软起动触发信号的准确触发是至关重要的。 本文设计中对同步信号采用了锁相环(Phase—Locked Loop,PLL)技术,锁相就是利用输入信号与输出信号之间的相位误差来自动调节输出信号的相位,使之达到与输入信号的相位一致,或保持一个很小的相位差,从而实现自动调节的功能。传统的锁相环由鉴相器(Phase Detector,PD),环路滤波器(LoopFilter,LF)与压控振荡器(Voltage(Controlled Oscillator,VCO)三个电路部件组成。随着大规模、超大规模数字集成技术的发展,全数字锁相环(All DigitalPLL,ADPLL)逐步发展起来。本设计中实现了一种基于CPLD的数字锁相系统,主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器4部分构成,其原理图如图4.4所示。 图4.4 ADPLL原理框图 Figure 4.4 ADPLL principle diagram 将ADPLL划分成若干个模块,对各自模块分别设计并调试通过后,再合成一个整体。这样做的优点在于项目本身的可读性增强,易于修改和维护。可同时进行多各子模块的设计,加快项目的开发进度。而且将一个设计项目划分成若干个子模块后,对各个子模块的采用不同的设计方式分别进行设计,各自生成功能模块,最后用一个顶层文件调用这些子模块的功能模块,完成整体设计。也就是说一个设计项目中可以同时用到图形编辑器、符号编辑器、文本编辑器以及波形编辑器等生成的功能模块,再用顶层文件调用他们,即采用混合输入设计。 总 结 采用 AT89C51单片机实现电机软起动控制系统,在结构上采用三相调压电路,控制逻辑用单片机实现,使得系统结构简单明了,提高了控制系统的可靠性,也便于维护。软起动控制系统不仅能将起动电流控制在安全范围内,改善了原控制系统因起动电流较大冲击而影响其它设备正常运行的状况,还能在起动和运行过程中检测电流、电压参数,对异常情况进行处理、显示及报警,实现对电机的综合保护。软起动、软停车方式,减少机械应力,保护设备,延长其使用寿命。实验 证明 住所证明下载场所使用证明下载诊断证明下载住所证明下载爱问住所证明下载爱问 ,该方法简单可行,控制效果良好,具有一定的实用价值。 参考文献 [1] 厉无咎;智能化软起动器[J];低压电器;2000年01期 [2] 吕广强,俞红祥,王琦,纪延超;基于最小电流模糊控制的电机软起动[J];电力电子技术;2003年05期 [3] 延汇文,邱阿瑞,王同勋;基于Intel196的感应电动机软起动器[J];电子技术应用;2002年01期 [4] 骆德汉,郎文辉;交流电动机软起动控制器的设计[J];电子科技大学学报;2001年05期 [5] 任先文,何强,王义军;异步电动机软起动器产生的谐波对起动特性的影响研究[J];东北电力学院学报(自然科学版);2003年02期 [6] 孙津济,王建民;异步电动机软起动装置中管压降信号的检测与触发电路[J];电工技术杂志;2003年08期 [7] 陈翔宇,江和;浅析异步电机电子软起动器的现状和展望[J];电气开关;2003年06期 [8] 陈翔宇 ,江和;一种基于80C196KC的电子软起动器的软硬件实现[J];电气时代;2004年04期 [9] 顾生杰;异步电动机SIMULINK建模与仿真[J];兰州交通大学学报;2003年04期 [10] 王晓光;软起动器及其应用设计和调试[J];机床电器;2004年01期 [11] 李翔;;三相异步电动机软起动器研究[J];安徽工程科技学院学报(自然科学版);2008年02期 [12] 甘世红;褚建新;顾伟;;高压大功率异步电动机软启动性能的研究[J];电气传动;2008年01期 [13] 谢东;电机软起动器在泵站中的应用探讨[J];广东水利水电;2001年S3期 [14] 张艳;;交流电动机起动方式的改进[J];江西电力职业技术学院学报;2006年01期 [15] 肖波;王喜元;;晶闸管调压软起动器的应用研究[J];防爆电机;2008年04期 [16] 甘世红;吴燕翔;杨琛;刘雨青;衣春波;;高压异步电动机软起动器[J];电气应用;2007年07期 [17] 佘致廷;皮玉;董璞;黄文;;基于无速度传感器的高转矩软起动器的研究[J];变频器世界;2007年05期 [18] 任先文,何强,王义军;异步电动机软起动器产生的谐波对起动特性的影响研究[J];东北电力学院学报(自然科学版);2003年02期 [19] 陈永军,李俊杰;基于80C196KC的恒转矩负载仿真控制器[J];电气电子教学学报;2005年02期 [20] 陈翔宇,江和;浅析异步电机电子软起动器的现状和展望[J];电气开关;2003年06期 [21] 徐晓峰,许世范,李密秀,赵建明;矿用架线电机车牵引新型IGBT斩波器[J];电气传动自动化;1997年01期 [22] 成开友;基于单片机的电机保护与软起动控制[J];电子科技大学学报;2003年02期 [23] 李湘林,刘建良;80c196kc单片机高速输入通道的中断特点[J];工业控制计算机;1999年03期 [24] 金墨,齐永杰;电机软起动器的探讨[J];电子质量;2001年06期 [25] 高辉,崔文进;基于DSP的交流采样和电量数字测量的研究[J];电工技术杂志;2002年10期 [26] 杨秀双;程序判别三相电压相序错误的方法[J];工业仪表与自动化装置;1999年04期 [27] 俞海珍,冯浩;电磁兼容技术及其在PCB设计中的应用[J];电子机械工程;2004年02期 [28] 付扬;笼型异步电机的建模及SIMULINK仿真[J];抚顺石油学院学报;2000年01期 [29] 陈超英;PWM型斩波式三相交流电压调节器[J];福建电力与电工;2001年03期 [30] 徐甫荣;交流异步电动机软起动技术[J];电气时代;2003年08期 谢 辞 在此论文撰写过程中,要特别感谢我的导师黄孙伟老师的指导与督促,同时感谢他的谅解与包容。没有黄老师的帮助也就没有今天的这篇论文。求学历程是艰苦的,但又是快乐的。感谢我的 班主任 高三班主任计划七年级班主任计划初中七年级班主任计划初二班主任计划高一班主任计划 翟红霞老师,谢谢他在这四年中为我们全班所做的一切,她不求回报,无私奉献的精神很让我感动,再次向她表示由衷的感谢。在这四年的学期中结识的各位生活和学习上的挚友让我得到了人生最大的一笔财富。在此,也对他们表示衷心感谢。 谢谢我的父母,没有他们辛勤的付出也就没有我的今天,在这一刻,将最崇高的敬意献给你们~ 本文参考了大量的文献资料,在此,向各学术界的前辈们致敬~
本文档为【交流电机软起动器控制系统设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_482581
暂无简介~
格式:doc
大小:433KB
软件:Word
页数:52
分类:企业经营
上传时间:2017-10-27
浏览量:49