首页 进制计数器verilog设计代码

进制计数器verilog设计代码

举报
开通vip

进制计数器verilog设计代码TTAstandardizationoffice【TTA5AB-TTAK08-TTA2C】进制计数器verilog设计代码modulecount60(Clk,Rst,Display,Sel);inputClk;//产生10KHZ时钟输入inputRst;//复位输入output[2:0]Sel;//七段码管扫描驱动output[6:0]Display;//七段码管显示输出reg[2:0]Sel;reg[6:0]Display;reg[13:0]Clk_Count1;//产生1Hz时钟的分频计数器reg[13:0]C...

进制计数器verilog设计代码
TTAstandardizationoffice【TTA5AB-TTAK08-TTA2C】进制计数器verilog 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 代码modulecount60(Clk,Rst,Display,Sel);inputClk;//产生10KHZ时钟输入inputRst;//复位输入output[2:0]Sel;//七段码管扫描驱动output[6:0]Display;//七段码管显示输出reg[2:0]Sel;reg[6:0]Display;reg[13:0]Clk_Count1;//产生1Hz时钟的分频计数器reg[13:0]Count2;//regClk_1HZ;reg[3:0]SECL,SECH;reg[3:0]MINL,MINH;reg[3:0]Disp_Temp;always@(posedgeClk)beginif(Clk_Count1==900)//对计数器进行判断,以确定Clk_1HZ信号是否反转beginClk_Count1<=0;Clk_1HZ<=~Clk_1HZ;endelseClk_Count1<=Clk_Count11;endalways@(posedgeClk_1HZornegedgeRst)if(!Rst)//系统复位beginSECL<=0;SECH<=0;MINL<=0;MINH<=0;Count2=0;endelsebeginif(SECL==9)//一般运行状态beginSECL<=0;if(SECH==5)beginSECH<=0;if(MINL==9)beginMINL<=0;if(MINH==5)beginMINH<=0;endelseMINH<=MINH1;endelseMINL<=MINL1;endelseSECH<=SECH1;endelseSECL<=SECL1;endalways@(posedgeClk)beginSel<=Sel1;//扫描累加endalways@(Sel)begincase(Sel)3'b000:Disp_Temp=4'b0000;3'b001:Disp_Temp=4'b0000;3'b010:Disp_Temp=4'b0000;3'b011:Disp_Temp=MINH;3'b100:Disp_Temp=MINL;3'b101:Disp_Temp=4'b1010;3'b110:Disp_Temp=SECH;3'b111:Disp_Temp=SECL;endcaseendalways@(Disp_Temp)//显示转换begincase(Disp_Temp)4'b0000:Display=7'b1111110;//04'b0001:Display=7'b0110000;//14'b0010:Display=7'b1101101;//24'b0011:Display=7'b1111001;//34'b0100:Display=7'b0110011;//44'b0101:Display=7'b1011011;//54'b0110:Display=7'b1011111;//64'b0111:Display=7'b1110000;//74'b1000:Display=7'b1111111;//84'b1001:Display=7'b1111011;//94'b1010:Display=7'b0000001;//-default:Display=7'b0000000;//全灭endcaseendendmodule
本文档为【进制计数器verilog设计代码】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
忠诚
暂无简介~
格式:doc
大小:192KB
软件:Word
页数:0
分类:
上传时间:2021-10-16
浏览量:0