首页 FPGA仿真流程

FPGA仿真流程

举报
开通vip

FPGA仿真流程QuartusII的设计流程国IT血2瘪良的设计流程,QuartusII软件的使用方法一、设计输入建立工程任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库(WorkLibrary)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。首先建立工作库目录,以便存储工程项目设计文件。在D盘下新建文件夹并取名Mydesigno双击QuartusII软件启动图标,即可启动QuartusII软件...

FPGA仿真流程
QuartusII的设计流程国IT血2瘪良的设计流程,QuartusII软件的使用方法一、设计输入建立工程任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库(WorkLibrary)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。首先建立工作库目录,以便存储工程项目设计文件。在D盘下新建文件夹并取名Mydesigno双击QuartusII软件启动图标,即可启动QuartusII软件,启动界面如图1-2所示。■信息显示由口FwHalt*Fl图1-2QuartusII启动界面,使用NewProjectWizard可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA工具,以及目标器件系列和具体器件等。在此要利用"NewPrejectWizard"工具选项创建此设计工程,并设定此工程的一些相关的信息,如工程名、目标器件、综合器、仿真器等。打开建立新工程管理窗。选择菜单File-^NewPrejectWizard命令,即弹岀“工程设置”对话框(图1-3),以此来建立新的工程。團1-3逹立新工程卩在单击图1-3后,出现了设置工程的基本信息,如图1-4所示。单击此对话框最上一栏右侧的“…”按钮,可以选择工程存放在硬盘上的位置,此例中将工程放在D盘Mydesign文件夹下。这三行的第一行的d:\Mydesign表示工程所在的工作库文件夹;第二行的half.add表示此项工程的工程名,工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名,在此就是按这种方式取的名;第三行是当前工程顶层文件的实体名,这里即为half_addoHevProjectVizard:Directory,Top-LevelEntityWhatistheworkingdirectoryforthisproject?[DAMydesign工程所在的工作库文件夹丄Whatisthenameofthisproject?|Halfadd工程名Whatisthenameofthetop-leveldesignentityforthisproject?Thisnameiscasesensitiveandmustexactlymatchtheentitynameinthedesignfile.|HaLadd当前工程顶层文件的实体名UseExistingProjectSettings.・.I[Finish职消图1-4设置工程的基本信息•(2)将设计文件加入工程中。单击图1-4中的Next按钮,弹出对话框如图1-5所示,在对话框中单击Filename右侧的“…”按钮,可以将与工程相关的所有VHDL文件(如果有的话)加入进此工程,此工程文件加入的方法有两种:第1种方法是单击“Add…”按钮,从工程目录中选岀相关的VHDL文件;第2种方法是单击AddAll按钮,将设定的工程目录中的所有VHDL文件加入到工程文件栏中。如果还没有建立VHDL文件,就直接点击“Next”即可。NewProjectVizard:AddFiles[page2of5]Selectthedesignfilesyouwanttoincludeintheproject.ClickAddAlltoaddalldesignfilesintheprojectdirectorytotheprojectNote:youcanalwaysadddesignfilestotheprojectlater.Filename:[j…|Add...|\Back[Next>]Finish取消團1-5添加文件卩选择目标芯片。单击图1-5中Next按钮出现如图1-6的对话框用来选择目标芯片。首先在Family栏选芯片系列,在此选FLEX10K系列,并选择此系列的具体芯片EPF10K10LC84-4o在uTargetdevice”选项下选择“Autodeviceselectedbythefitterv选项,系统会自动给所设计的文件分配一个器件。如果选择“Spec辻icdeviceselectedin'Availabledevices'list”选项,用户需指定目标器件。在右侧的"Filters"窗口“过滤”选择;Package表示封装;Pincout表示引脚数,此例选择84;Speedgrade表示速度等级,此例选择4。HewProjectVizard:Fa>ily&DeviceSettings[page3of5]Selectthefamilyanddeviceyouwanttotargetforcompilation.J选择芯片系列Family:|FLEX10KTargetdevice系统会自动给所设计的文件分配一个器件CAutodeviceselectedbytheFitterfromthe'Availabledevices'listaWBdne.d前回@d.販駆b叵d@9i©@£.li述用芦癡定目肠FAvailabledevices:EPF10K10LC84-4封装引脚数速度等级FiltersPackage:Pinfount:Speedgrade:Corevoltage:pShowAdvancedDevicesCompanionde^/iceHardCopyII:|PLimitDSP&RAMtoHardCopyIIdeviceresources〈BackNext>Finish取消图1・6器件类型选择门在图1-6中单击“Next”按钮后进入第三方工具选择对话框,如图1-7所示。用户可以选择所用到的第三方工具,比如ModleSim、Synplify等。在本例中并没有调用第三方工具,可以都不选.I-gll-7EDA工具设置》在图1-7单击“Next”按钮后进入最后确认的对话框,如图1-8所示。建立的工程的名称、选择的器件和选择的第三方工具等信息,如果无误的话就可以单击“Finish”按钮,弹出如图1-9所示的窗口,在资源管理窗口可以看到新建的工程名称half_addoProjectVizard:Suuary[page5of5]XWhenyouclickFinishztheprojectwillbecreatedwiththefollowingsetting$:Projectdirectory:D:/Mydesign?Projectname:HalLaddTop-leveldesignentity:Numberoffilesadded:HalLadd0Numberofuserlibrariesadded:Deviceassignments:Familyname:Device:EDAtools:DesignenUy/$ynthe$i$:Simulation:Timinganalysis:FLEX10KEPF10K10LC84-4C4lWJY亠•《teal—i/X*>«*aa*M4m*im口Tm.m・Az:$—.4■■•«.・■・—.U5.■山K・ofV«vUU«A|rD2CU4t'e«w4U・«*■*•••■•:•!-*»•'•••«•"〜•・—3*•!•・•<*»»••*•"•••»••«»«*<•«f»»、・▼,••*»>•^««・・U—•wave•WM*b»・・・Q5圉1-14编译完成后的窗口3二、设计仿真设计仿真的目的就是在软件环境下,验证电路的行为和思想是否一致。仿真分为功能仿真和时序仿真。功能仿真是在设计输入之后,综合和布局布线之前的仿真,不考虑电路的逻辑和门电路的时间延时,着重考虑电路在理想环境下的行为和预期设计效果的一致性。时序仿真是在综合、布局布线后,也即电路己经映射到特定的工艺环境后,考虑器件延时的情况下对布局布线的网络表文件进行的一种仿真,其中器件延时信息通过反向标注时序延时信息实现的。1•仿真文件的生成(1)建立矢量波形文件。在图1T4中,单击“File”菜单下的“New”命令,在弹岀的“New”对话框中选择"OtherFiles"页面,如图l_15o选择“VectorWaveformFile"后单击“OK”按钮,弹出如图1-16所示的矢量波形编辑窗口。圉1-15建立矢量波形文件心W|*M*zJM3•专怜匕令$r«llHyv八•IfAIFi«w1I<.■>A@|CmMc^Roo*AowSijvmf;Qr.仏】rma・.ezuy.f,y”Mdt.Mi-*Mdf.UiUf«久・QX€«1vfZ»f«>«l«r磺■■rtmttfUly!»<•1am・oQ»»<•>i»X«%i**•t<18mI*f»OmtIoHTtatMAaUrref•««0«vrw&.0vw»Aa<>El的Zfef*Qmt・・XINilCmil・Ue・・0«rvw«Q・・«u«4i图1-16矢量波形編辑窗口卩添加引脚或节点。在图1-16中,左键双击“Name”下方空白处,弹出aInsertNodeorBusw对话框,如图1-17所示。单击对话框"NodeFinder…"按钮后,弹岀"NodeFinder”对话框,如图1-18所示。国1-17“InsertNodeorBus^话框NodeFinderNamediSLookm3EEK■]IPmdl"V|.]PjnckidewberitesNodesFoundSelectedNodesWvmwI4UmmmmIIB图l・18“NodeFindeP対话框门在图1-18中,在“Filter”后面的方框里选择“Pin:all”,然后单击“List”按钮,在“NodeFound”栏中列出了设计中的所有的输入/输岀引脚号,如图1-19所示。NodeFinderN«med[•LookinlElg?*NodetFoixrf»Inckxfetubevirfies£uttomee..ISNameSelectedNodesIA:«nmeri:TNarneCanedUr^x:^nedlrUnatiignedlrUnamgrtedCUn^^gnedC添加一个选中的信号添加全部信号瞻一个选中信別除己添加的全部值号国1-19列出输入隔出节点心单击图1-19中的>>按钮,所有列岀的输入/输出引脚号被复制到右边一侧。也可以根据情况选择部分引脚号复制到右边,方法是在左边选中想要的引脚号,单击2按钮即可。选择好了引脚号后,单击“0K”按钮,返回uInsertNodeorBus"对话框,此时,在"Name"和“Type"栏里出现了“MultipleItems"项,如图l-20o单击“OK”按钮,选中的输入/输岀引脚号都添加到矢量波形编辑窗口中,如图1-21所示,单击“0K”按钮,进入矢量波形编辑窗口,如图l-22oInsertNodeorBusName:Type;["MultipleItem产OK;^MultipleItem严JCancel|Valuetype:19-LevelRadix:(BinaryBuswidth:hStartindex:1°厂Displaygraycodecountasbinarycount国1-20选择输入備出节点2ZJ_▼].]&IncludelubenbbetOKCoedLookinNodeFinderNoctetFoiixtTj5eteciedNoderName1A$:igrrftWt:Name[ITAA1*80CoUSUMstigrwjUnsignedkItCCOgaddEoUnsignedIrUnsignedIfUrmtifxwd0Unanigned0J’<(~>Named|*二jRer;Pjn$&图1・21查找节点后的“InsertNodeorB血対话框•ac0[Hilf.XM创cQHai.dddMACora(rfabonRevert•AowSorawyQW^w^kwnlvWTia«BarlfMlly>!3LoyI“st«*sre«pz*A*MpgeCstXXTi»inrf«St«r<»4”・Q・h,“a<4«l«r:Belwjr«*•«•<•••»•▲•«•4»yH・《a尸・T".«•K100MIat«^mtVmXIYiait»u・vM6Hf*<»4Ijl»wlMetw«X>Qm<««ItA»«lxi*B•tyxUk«B)a・w・20«tt—■.0・《tmmi国1-26创連功能仿真网络表a€Q»MlmHd/ah*rVqiMfU«bonf^c8CcffcMcnRoM2"|$4Miftcr*R«c«rf$4wJ«or■m<«tTie*>«rt*UStertio9m»?-WmAMttRW«r<7«]2]F«iatwda.h4d・I"y%[hxfSi»«luw・W0000I万cQ^3—baKXIT$ixl・q«vC«ae«MI•<»,<»1・《•«•—wro・・.“Q”y3(."•/MrMe^・prmFlHLXCQuartinII・MHr4/qmHis50A“Kv“Hetf_M■2V«r«fibitWtt»Mowe・"700o«noCQuaftmll4(SimuUttonlU^ilSrauUrtofi三、引脚锁定引脚锁定是为了对所设计的工程进行硬件测试,将输入/输出信号锁定在器件的某些引脚上。单击“Assigments”菜单下的“Pins”命令,在弹出的对话框如图1-29所示,在下方的列表中列岀了本项目所有的输入/输出引脚名。?/X■“•<»«».•,・“R••»(•»«iJ••<•••.•!%!<»*«•-r«*vr«Xa<«••*••«>If_3■■・・・•Xaf*•»••<**•hsf—Qde人eh人w入w・《•入suwt庙57V.CT•■八图1-29选挥要分配的引脚2在图1-29中,双击与输入端“A”对应的“Location”选项后弹岀引脚列表,从中选择合适的引脚,则输入“A”的引脚锁定完毕。同理完成其他引脚的锁定,如图1-30。3Joe*如—》hUf・・FH.S•hUf<•«•Aij:八••'.•X••・■•"・p*2・?1JW.・oc・3M口S—Kiwg人m.g>AweAwnkHW"o入疔7toFrZ>・prrwWIE11-30完成所有引脚的分配,、编程下载编程下载是将本次设计所生成的文件通过计算机下载到实验箱里,来验证此次设计是否能够达到预期目的。需要进行以下几个步骤:编译锁定引脚后必须再次编译,才能存储这些引脚锁定的信息,单击编译按钮T执行编译。配置下载电缆在"Tools"菜单下选择aProgrammerw命令,或者直接单击工具栏上的国按钮,弹出如图1-31所示的页面。0Q久xlz«r008•巧f匕&®••OnQtMitinUd/4llcrWq»Mlm5<>4Ulf^44»Utf.MdpUK.Ad4.«M)JA*••人2・:f入ZHo入叫入W■^入3mIWeq入fe/IfNemoil^M®■crMO.crmflF-•*>tI4»MX®1-31未经配置的下载窗口・单击uHardwareSetup"按钮,弹出uHardwareSetup"对话框,如图1-32所示。单击“AddHardware"按钮设置下载电缆,弹出如图1-33所示的对话框。在uHardwaretype"一栏中选择uByteBlasterMVorByteBlasterII”后单击“OK”按钮,下载电缆配置完成。设置成如图1-34所示的选项后,单击“Close”按钮即可。一般情况下,如果下载电缆不更换,一次配置就可以长期使用,不需要每次都设置。HardvareSetupHardwareSettingsJTAGSettings|Selectaprogramminghardwaresetuptousewhenprogrammingdevices.Thisprogramminghardwaresetupappliesonlytothecurrentprogrammerwindow.Currentlyselectedhardware:jNoHardware▼[Availablehardwareitems:Hardware!Server|Port|iAaaHardware...j|RemoveHardware|Close图1・32设置编程器对话框AddHardwareHardwaretype:Port:Baudrate:Servername:Serverport:Serverpassword:(MasterBlaster|C0M1|115200IdII(二二卫£二二耳Cancel|AutoDetectI国1-33选择下载电鸵」HardwareSetupHardwareSettings|JTAGSettings|Selectaprogramminghardwaresetuptousewhenprogrammingdevices.Thisprogramminghardwaresetupappliesonlytothecurrentprogrammer內indow.Currentlyselectedhardware:|Ma$terBtester[COM1]▼jAvailablehardwareitems:Hardware'Server|Port||:AdaHardware...ByteBlasterLocalLPT1MasterBlasterLocalC0M1Close圉1.34下载电缆选择完成Q下载模式JTAG模式是软件的默认下载模式,相应的下载文件为“・sof” 格式 pdf格式笔记格式下载页码格式下载公文格式下载简报格式下载 。在“Mode”一栏中还可以选择其他的下载模式,例女口,PassiveSerial>ActiveSerialProgramming和In-SocketProgramming。勾选图1-31中下载文件"half_add.sof"右侧的第一个小方框,也可以根据需要勾选其他的小方框。将下载电缆连接好后,单击“Start”按钮计算机就开始下载编程文件,这样在实验箱上就可以验证实验效果了。到这里,我们都演示了QuartusII软件的全部使用过程,从建立工程,VHDL语言设计输入,设计仿真到编程下载都演示了一遍,读者跟着上面的操作,就能够到达最后的程序下载,在EDA实验箱上看到最终的效果。五、原理图输入(1)建立文件。在图1-9中,单击“F订e”菜单下的“New”命令或者使用快捷键Ctrl+N,在弹出“New”对话框如图1~35所示。在"DeviceDesignFilesv页面下双击“BlockDiagram/SchematicFile”选项(或选中该项后单击"0K"按钮)后建立新文件,如图1-36所示。图1-35新建原理图/国表模块文件a±J«JProjectNavigator®Blocklbd文字编辑工具】::::::::::「:::::::::::::「「:::J-添加一个图表模块:::::::::::::::画垂直和水平的总钱一~选中此项移动图形元件时.脚位与连线不断开j-选中后,单击乱标左良为放大,单击fit标右键为缩小—査找节点、总线和元件尊±j.*jr-SUtu$]・o运.[Pro....刃Twk选取.移动.复制对象.是最基本且常用的功能O•添加工程中所需要的各种原理图 关于工期滞后的函关于工程严重滞后的函关于工程进度滞后的回复函关于征求同志党风廉政意见的函关于征求廉洁自律情况的复函 数和符号、n画垂直和水平连线.同时可以定义节点名称:二T;用于模块之间的连接和映射;选中后;可以选择局部连线HO全屏显示原理图编辑器亩口:::;:•;::分别为矩形.圆形.直线和弧线工具因1-36圉形编辑窗口J(2)在图1-36中对常用的工具栏功能进行了标注,以帮助读者更好地使用这些工具。在图1-36的图形编辑窗口的空白处双击鼠标左键(或在编辑工具栏单击肩工具条),弹出如图1-37所示的选择电路符号对话框,选中“primitives”f“logic”f“xor”(或在"Name"输入编辑框中输入“xor”)后,单击“OK”按钮。此时,光标上与符号连在一起,可以移动光标,将符号移动到合适的位置,如图l-38o同理,在图中放置一个“and2”符号,在"primitives"f"pin”下选择“input”和“output”两中符号,分别放两个,如图「39所示。Symbol岂Otlocdge2Xotl23468x>gocaaaaaxrvchQQ-QQchQgjame:[xoi厂Repeatn$ertmoderJn»rttynfeoldxMxirLaunchMeg^cardPtMepaWgardPh^nManage*»|QK|i>cdI图1-37选择元器件卩囤*9_AMQrvwrtt(oAtfrwtowMSy»t*人g入£■•*入A入入£e/RvH4>.0rMSP|NLM^1-38摆放异或门心CQ»w1mN4tUH(BbcklWH]超39froitcf^M^rwwrts^toceMnQlook.■X]tgr-□__治MabprwH!「■■•■L\・MX图1-39摆放完所有元器件“(3)连接各元器件并命名。在图1-39中,将光标移到input右侧,待变成十字形光标时,按下鼠标左键(或选中工具栏中的回工具,光标自动会变成十字形的连线状态),再将光标移动到异或门的左侧,待连接点上出现蓝色的小方块后释放鼠标左键,即可看到input和异或门之间有一条连线生成。重复上面的方法将1-39图中各种符号连接起来,如图1-40所示。双击pin_name使其底色变为黑色后,输入A(或双击input,弹岀"PinProperties^对话框,在"Pinnamev一栏中填上名字A),将其他引脚使用同样的方法命名。图1-40连接电路(4)保存文件。在图1-40中单击保存文件按钮M。在弹出的对话框中的“文件名”下,输入原理图文件的名称"half_add.bdf",单击“保存”按钮即可保存文件,如图1-41所示。图1-41保存文件心(5)编译工程。在图1-41屮单击水平工具条上的编译按钮或选择菜单Processingb的StartComplilation,开始编译,并伴随着进度不断地变化,编译完成后的窗口如图1-42所示。如果编译过程岀现错误,要根据提示将错误改正,保存后再次编译,直到编译无错误为止。到此在QuartusII软件中使用原理图输入设计完成,接下来是将绘制好的原理图设计进行仿真,以验证原理图设计是否能够达到预期目的。仿真方法与VHDL语言文件的方法一样QtMftrntl4(ComplUttoaFlM$umm4i9y|百I1]M®jcgtSortIQ2“■心OOMd“■心g_J5亦□Htw令Cc«tc44tKnFbw$w»«yFXw$<•<«•grwtlV«r«4-t.4UItWII»»50>m14140OVM/SOOSVZ、QI•“XUf.«44•<&■««vc«>tB*A"q・44tUM«M»i>t»Tn«*!•K100M,•1/UC
本文档为【FPGA仿真流程】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥21.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
明明如月
暂无简介~
格式:doc
大小:1MB
软件:Word
页数:34
分类:高中语文
上传时间:2022-11-20
浏览量:10