首页 [最新]数字秒表 数字计时器 开题申报 本科卒业设计

[最新]数字秒表 数字计时器 开题申报 本科卒业设计

举报
开通vip

[最新]数字秒表 数字计时器 开题申报 本科卒业设计[最新]数字秒表 数字计时器 开题申报 本科卒业设计 南京航空航天大学金城学院 毕业设计(论文)开题报告 题,,,,,,,,,,,,,,,,,,,, 目 基于VHDL实现1/100秒计时控制器 系,,,,,,,,,,,,,,,,,,,, 部 信息工程系 专,,,,,,,,,,,,,,,,,,,, 业 信息工程 学生姓名 韩佳伟 学号 2006021421 指导教师 夏永君 职称 讲师 毕设地点 校内 年,,,,,,,,,,月,,,,,,,,,,日 1. 结合毕业设计(论文)课题任务情况,根据所查阅的文献资...

[最新]数字秒表 数字计时器 开题申报 本科卒业设计
[最新]数字秒表 数字计时器 开题申报 本科卒业设计 南京航空航天大学金城学院 毕业设计(论文) 开题报告 课题研究开题报告ppt课题开题报告格式污水处理厂开题报告研究生开题报告酒店vi设计开题报告 题,,,,,,,,,,,,,,,,,,,, 目 基于VHDL实现1/100秒计时控制器 系,,,,,,,,,,,,,,,,,,,, 部 信息 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 系 专,,,,,,,,,,,,,,,,,,,, 业 信息工程 学生姓名 韩佳伟 学号 2006021421 指导教师 夏永君 职称 讲师 毕设地点 校内 年,,,,,,,,,,月,,,,,,,,,,日 1. 结合毕业设计(论文)课题任务情况,根据所查阅的文献资料,撰写1500,2000字左右的文献综 述: 1.研究背景与意义 随着人们生活水平的日益提高,社会体系的日益完善,人们对于各种应用器材的要求也越来越高.秒表作为日常生活中,特别是体育运动中应用的特别广泛,所以精确且方便使用的秒表就被越来越多的人所选择.本秒表计时器用于体育竞赛及各种要求有较精确时的各领域,以往常利用中小规模集成电路实现,但一般体积大,使用携带不方便。利用VHDL在FPGA或CPLD上实现1/100秒计时控制器,能充分发挥VHDL与可编程器件灵活、高效,集成度高的特点,基于VHDL实现1/100秒计时控制器具有重要的实际意义(此计时器是用一块专用的芯片,用VHDL语言描述的。它具有开关、时钟和显示功能,其体积小,携带方便。 2.CPLD复杂可编程逻辑器件 数字技术已渗透到科研、生产和人们日常生活的各个领域。随着数字集成技术和电子设计自动化技术的迅速发展,数字系统设计的理论和方法也在相应地变化和发展。 电子设计自动化(EDA)的实现是与CPLD/FPGA技术的迅速发展息息相关的。CPLD/FPGA是80年代中后期出现的,其特点是具有用户可编程的特性。利用PLD/FPGA,电子系统设计工程师可以在实验室中设计出专用IC,实现系统的集成,从而大大缩短了产品开发、上市的时间,降低了开发成本。此外,CPLD/FPGA还具有静态可重复编程或在线动态重构特性,使硬件的功能可象软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。 自从20世纪60年代初集成电路诞生以来,经历了SSI,MSI,LSI的发展过程,目前已进入超大规模VLSI和甚大规模ULSI阶段,数字系统设计技术也随之发生了崭新的变化。 数字系统是由许多子系统或逻辑模块构成的。设计者可根据各模块的功能选择适当的SSI,MSI及LSI芯片拼接成预定的数字系统,也可吧系统的全部或部分模块集成在一个芯片内,称为专用集成电路ASIC。使用ASIC不仅可以极大地减少系统的硬件规模{芯片数、占用的面积体积等},而且可以降低功耗、提高系统的可靠性、保密性以及工作速度。 ASIC是一种用户定制的集成电路。按制造过程的不同又可分为两大类:全定制和半定制。全定制电路是由制造厂按用户提出的逻辑要求,专门设计和制造的芯片。这一类芯片专业性强,适合在大批量定型生产的产品中使用。常见的电子表机芯、存储器、中央处理器CPU芯片等,都是全定制电路的典型例子。 早期的半定制电路的生产可分为两步。首先由制造厂制成 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的半成品;然后由制造厂根据用户提出的逻辑要求,再对半成品进行加工,实现预定的数字系统芯片。典型的半定制器件是20世纪70年代出现的门阵列GA和标准单元阵列SCA。他们分别在芯片上集成了大量逻辑门和具有一定功能的逻辑单元,通过布线把这些硬件资源连接起来实现数字系统。这两种结构的ASIC的布线工作都是由集成电路制造厂完成的。 随着集成电路制造工艺和编程技术的提高,针对GA和SCA这两类产品的设计和编程都离不开制造厂的缺点,从20世纪70年代末开始,发展了一种称为可编程逻辑器件PLD的半定制芯片。PLD芯片内的硬件资源和连线资源也是由制造厂生产好的,但用户可以借助功能强大的设计自动化软件和编程器,自行在实验室内,研究室内,甚至车间等生产现场,按照算法设计和电路划分-图像输入或文本输入-编译和逻辑模拟-设计实现-目标文件下载几个步骤进行设计和编程,实现所希望的数字系统。在这种情况下,设计师的主要工作将是: 『1』 根据设计对象的逻辑功能进行算法设计和电路划分,今儿给出相应的行为描述或结构描述。 『2』 利用制造厂提供的编辑工具以文本方式或图像方式把上述描述输入计算机。 『3』 给出适当的输入信号,启动设计自动化软件中的仿真器,进行逻辑模拟,检查逻辑设计的正确性和进行时序分析。 『4』 选择PLD芯片,设计将由设计自动化软件来完成。包括按设计要求在PLD内部硬件资源上进行布局和布线,今儿形成表示这些设计结果的目标文件。最后将上述目标文件写入给定的器件,使该器件实现预定的数字系统。 任何组合函数都可表示为积之和表达式,并用两级与-或电路实现。PLD就是根据这一原理,在芯片上集成了大量的两级与-或结果的单元电路,通过编程,即修改各与门及或门的输入引线,从而实现任意组合逻辑函数。它的硬件结构设计可由软件完成(相当于房子盖好后人工设计局部室内结构),因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它们只能实现规模较小的电路。随着集成技术的发展,为弥补PLD只能设计小规模电路这一缺陷,20世纪80年代中期,在有效扩展SPLD和吸取SCA的构思基础上,推出了成为复杂PLD的新一代可编程器件–CPLD,这类PLD内部结构已不再完全局限于简单的由两级与-或电路构成的与-或阵列,也可以是更加灵活、更加通用的逻辑单元的阵列。它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价 格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。目前应用已深入网络、仪器仪表、汽车电子、数控机床、航天测控设备等方面。另一类PLD器件是从GA基础上发展的,称为现场可编程门阵列FPGA。PLD电路结构的发展使芯片内硬件资源的利用更加灵活,设计师可在同样容量的芯片上配置入更加强大的数字系统。 3.EDA与VHDL 近年来,随着集成电路技术的不断发展和集成度的迅速提高,待设计系统的规模越来越大,传统的手工设计方法已无法适应设计复杂数字系统的要求,迫使人们转而借助计算机进行系统设计。与此同时,集成电路技术的发展也推动了计算机技术与数字技术的发展,使人们有可能开发出功能强大的电子设计自动化EDA软件,使计算机辅助数字系统设计成为可能,从而大大提高了设计效率。 为了把待设计系统的逻辑功能、实现该功能的算法、选用的电路结构和逻辑模块,以及系统的各种非逻辑约束输入计算机,就必须有相应的描述工具。硬件描述语言HDL便应运而生了。硬件描述语言可以对数字系统建模,应支持从系统级至各个层次的行为描述和结构描述。 硬件描述语言与程序设计语言相似,也是一种无二义性的规范的形式语言。用它描述的设计要求和设计过程便于在客户、设计师、制造商、及用户间进行交流,也便于重用已有的设计。与传统程序设计语言相比,硬件描述语言增加了并行语句及延时、功耗参数说明等语句,以便描述硬件电路的功能和结构。 EDA工具通常允许设计师采用两类描述方式作为设计输入。一类是图形化输入方式与手工设计时采用的描述形式向方。另一种称为文本方式,采用易被计算机编译的硬件描述语言对设计进行描述。由于HDL适用于逻辑设计的各个层次,可贯穿逻辑设计的全过程,且便于对系统做高层次描述。因此,在借助EDA工具进行系统设计时,HDL的文本输入方式比图像输入方式更为常用。 VHDL语言是美国国防部在20世纪80年代初为实现其高速集成电路计划而提出的一种HDL,其含义为超高速集成电路硬件描述语言。当初提出VHDL的目的是为了给数字电路的描述与模拟提高一个基本的标准。通过它为设计建立文档,并通过VHDL仿真器进行设计正确性验证。以后随着数字电路综合技术的提高,对VHDL综合的研究与开发逐渐成 熟。现今许多EDA工具中均包含有VHDL综合器。 VHDL语言描述能力强,覆盖面广,抽象能力强,用VHDL语言作为硬件模型建模很合适.设计者的原始描述是非常简练的硬件描述,经过EDA工具综合处理,最终生成付诸生产的电路描述或版图参数描述的工艺文件.整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错机会。VHDL语言可读性好.VHDL既能被人容易读懂,又能被计算机识别,作为技术人员编写的源文件,它既是计算机程序,技术文档和技术人员硬件信息交流的文,,,,,件,又是签约双方的合同文件.VHDL语言中的设计实体(design,,,,,entity),程序包(package),设计库(library),为设计人员重复利用他人的设计提供了技术手段.重复利用他人的IP模块和软核,,,,,(soft,,,,,core)是VHDL的特色,许多设计不必个个都从头再来,而是只要在更高层次上把IP模块利用起来,就能达到事半功倍的效果。 ,,,,,,,,,,,,,,,,,,,,一个完整的VHDL语言程序通常包含实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合,,,,,(Package)、的库(Library)5个部分。前4种是可分别编译的源设计单元。实体用于描述所设计的系统的外部接口信号;结构体用于描述系统内,,,,,部的结构和行为;包集合存放个设计模块都能共享的数据类型、常数和子程序等。配置用于从库中选取所需单元来组成系统设计的不同版本;库存放已经编译的实,,,,,体、构造体、包集合和配置。库可由用户生成或由ASIC芯片制造商提供,以便在设计中为大家所共享。以FP,,,,,GA,,,,,为核心的数字秒表,,,,,,具有外围电路少、集成度高、可靠性强等特点。该数字秒表的设计是以VHDL为开发工具,,,,,,以Quartus?或MAXPLUS2软件平台,采用模块化设计,,,,,,并通过数码管驱动电路动态显示计时结果。 参考文献 [1],,,,,侯伯亨,顾新编著.,,,,,,,,,,VHDL硬件描述语言与数字逻辑电路设计.,,,,,,,,,,西安电子科技大学出版,1999 [2],,,,,邢建平,曾繁泰著.,,,,,VHDL程序设计教程.,,,,,,,,,,北京:清华大学出版社,2005. [3],,,,,王志鹏,付丽琴.,,,,,可编程逻辑器件开发技术MAX+plus?,,,,,[M].,,,,,北京:国防工业出版社,2005. [4],,,,,沈明山.,,,,,EDA技术及可编程器件应用实训[M].,,,,,北京:科学出版 社,2004. [5],,,,,雷伏容,,,,,编著.,,,,,VHDL电路设计.,,,,,北京:清华大学出版社,,,,,,2006. [6],,,,,藏春华,电子线路设计与应用,高等教育出版社,2004. [7],,,,,徐志军.,,,,,EDA技术与PLD设计[M].,,,,,北京:人民邮电出版社,,,,,,2006. [8],,,,,藏春华,郑步生,现代电子技术基础(数字部分),北京航空航天大学出版社,2005. [9],,,,,陈燕东主编,李颖等编著.,,,,,可编程器件EDA应用开发技术[M].,,,,,北京:国防工业出版社,,,,,,2006. [10],,,,,刘绍汉,林灶生,刘新民.,,,,,VHDL芯片设计[M].,,,,,北京:清华大学出版社,,,,,,2004. [11],,,,,黄任.,,,,,AVR单片机与,,,,,,,,,综合应用入门[M].,,,,,北京:北京航空航天大学出版社, 2004 [12],,,,,蒋璇,,,,,藏春华,数字系统设计与PLD应用(第二版),电子工业出版社,2005. [13],,,,,孙延鹏,张芝贤,尹常永编著VHDL与可编程逻辑器件应用.,,,,,北京:航空工业出版社,2006 [14],,,,,(美),,,,,Wayne,,,,,Wolf.,,,,,,,,,,FPGA-Based,,,,,System,,,,,Design[M ].,,,,,北京:机械工业出版社,2005. [15],,,,,(美)Douglas,,,,,L.,,,,,Perry,,,,,VHDP:,,,,,Programming,,,,,By,,,,,Ex ample.,,,,,McGraw-Hill,2002. [16],,,,,有关EDA技术CPLD,,,,,FPGA技术的参考 关于书的成语关于读书的排比句社区图书漂流公约怎么写关于读书的小报汉书pdf ,数字电子技术的参考书,参考资料 2. 毕业设计任务要研究或解决的问题和拟采用的方法: 设计一款基于VHDL的计时控制器,,,,,,设计在开发软件MAX,,,,,PLUS2或QUARTUS?进行输入编译、仿真. 1.计时器的设计功能:,,,,,,,,,, (1),,,,,计时器能显示1/100s,,,,,的时间,故提供给计时器内部定时的时钟脉冲频率应大于100Hz,可选1kHz。 (2)计时器计时长度为59.分59.99秒,在一般计时应用中足够了,为此需要一个6位显示器,显示最长时间为59分59.99秒。 (3)设置复位和启/停开关,复位开关用来使计时器清0,并作好清0准备。启/停开关的使用方法与传统的机械计时器相同,即按一下启/停开关,启动计时器开始计时,再按一下启/停开关计时暂时。复位开关可以在任何情况下使用,在计时过程中,只要按一下复位开关,计时进程就终止,并对计时器清零。 2.毕业设计任务要研究或解决的问题 (1)设计基于VHDL的1/100s计时器,要求 (1)阅读EDA,,,,,VHDL,,,,,PLD数字电路的相关的论文和书籍,系统地了解用VHDL设计数字系统的相关知识和原理和步骤和目的和意义和方法。 (2)掌握数字系统设计的步骤,系统逻辑功能的确定-系统的描述-算法的设计-电路结构选择-电路的实现。 (3)掌握数字系统设计的自上而下的设计方法,系统级-系统设计-功能级-逻辑设计-器件级。 (4)掌握MAXPLUS2或QUARTUS,,,,,II软件平台的应用和操作,学习用软件设计并模拟仿真电路,按照要求实现的功能去设计并实现1/100s的数字计时器。 (2)预期成果: 通过学习在QUARTUS,,,,,II或MAX,,,,,PLUS2上用VHDL设计1/100s数字计时器来实现要求的效果和功能,并在软件上编译模拟仿真,掌握数字系统设计的步骤和方法。 (3)拟采用的研究方法 用VHDL设计1/100s计时器,采用以下研究方法: (1)先确定系统的逻辑功能,建立算法流程,选择电路结构,确定并设计电路所需的数据处理以及控制模块,,,,, (2)在QUARTUS,,,,,II或MAXPLUS上采用图形输入文本输入混合方式建立描述文件,图形输入表明系统的组成,并给出各模块的连线关系,文本输入确定各个模块的端口以及,,,,,功能。 (3)用编译器将顶层图形输入文件和第二层次的功能块VHDL输入文件相结合并编译,模拟仿真校验设计后,便可以产生设计文件。 3.基本原理 由4个部分电路组成: (1) 按键输入控制电路 开关K1开始计时与暂停后继续计时,开关k2暂停计时,开关K3暂停计数并清零复位,与两个RS触发器和若干个门组合成按键输入控制电路,输出清零信号Q2与启动停止信号,,,,,Q1,Q1与时钟信号CP接入与非门控制计数器是否进行计数,Q1高电平计数,Q2低电平清零,开关K1闭合时电路输出Q2高电平Q1高电平,K1复位后电路保持输出状态,K2闭合时Q2高电平,Q1低电平,K2复位后电路保持输出状态,K3闭合时电路输出Q2低电平,Q1低电平。 (2) 时钟分频电路 以0.01秒的脉冲作为时钟信号输入到0.01秒位计数器的CP;如不具备0.01的时钟源,则要经过分频器输出为0.01秒的时钟信号,时钟信号与按键输入控制电路的Q1端输出接入与非门,当Q1输出高电平时,时钟信号得以输出到0.01秒计时器CP端。 (3)时分秒计时电路 0.01秒位、0.1秒位、1秒位是10进制,10秒位是6进制,1分位是10进制,10分位是6进制;本系统由4个10进制计数器和2个6进制计数器组成,由74161变换成。0.01秒位模10计数器介入CP时钟信号,进位信号CO输出作0.1秒位的时钟信号,0.1秒位进位信号作为1秒为的时钟信号,1秒位的进位信号作为10秒位的时钟信号,10秒位的进位信号作为1分位的时钟信号,,,,,,1分位的进位信号作为10分位的时钟信号,计数的,,,,,Q1Q2Q3Q4输出到译码器再接到显示管显示。清零端CR=0时计数器清零,CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1工作。 (4)译码显示电路 由CC4511译码器和七段显示共阴极数码管组成。ABCD接入Q1Q2Q3Q4输入,abcdefg为译码输出端,输出“1”用来驱动LED数码管相应显示。 数字计时器原理框图 根据数字计时器的系统原理框图设计系统的顶层电路图。按照自顶向下的设计思路,,,,,,编写各个模块的源程序,,,,,,最后再对各个模块进行组合,,,,,,编写顶层描述的源程序。 指导教师意见(对课题的深度、广度及工作量的意见和对毕业设计(论文)结果的预测): 课题通过采用硬件描述语言(VHDL),建立控制逻辑的硬件模型,编程实现其逻辑功能,完成1/100秒计时控制器的硬件描述。在MAX+Plus?软件上编译,仿真。通过该课题的研究可使学生对数字系统自动化的基本概念、基本原理、特性及实现方法都有较好的了解和理解;同时可锻炼学生的计算机应用能力和VHDL语言的编程能力。 指导教师签字:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,年,,,,,,,,,, 月,,,,,,,,,,日 上级审查意见: ,,,,,负责人签字:,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,年,,,,,,,,,, 月,,,,,,,,,,日
本文档为【[最新]数字秒表 数字计时器 开题申报 本科卒业设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_751406
暂无简介~
格式:doc
大小:38KB
软件:Word
页数:0
分类:企业经营
上传时间:2018-09-06
浏览量:8