首页 交通灯控制系统设计

交通灯控制系统设计

举报
开通vip

交通灯控制系统设计分类号TP273单位代码11395密级学号0805270131学生毕业设计(论文)题目交通灯控制系统设计作者院(系)专业测控技术与仪器指导教师答辩日期2013年6月1日毕业设计(论文)诚信责任书本人郑重声明:所呈交的毕业设计(论文),是本人在导师的指导下独立进行研究所取得的成果。毕业设计(论文)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。尽我所知,除文中已经注明引用的内容外,本论文不包含任何其他个人或集体已经公开发表或撰写过的研究成果。对本文的研究做出重要...

交通灯控制系统设计
分类号TP273单位代码11395密级学号0805270131学生毕业 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 (论文)题目交通灯控制系统设计作者院(系)专业测控技术与仪器指导教师答辩日期2013年6月1日毕业设计(论文)诚信责任书本人郑重声明:所呈交的毕业设计(论文),是本人在导师的指导下独立进行研究所取得的成果。毕业设计(论文)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。尽我所知,除文中已经注明引用的内容外,本论文不包含任何其他个人或集体已经公开发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人毕业设计(论文)与资料若有不实,愿意承担一切相关的法律责任。论文作者签名:年月日交通灯控制系统xx大学本科毕业设计(论文)PAGE2Ⅰ摘要基于单片机设计的交通灯控制系统,它在交通通行中使用非常频繁,能够有效的帮助解决一些交通拥堵问题,使用起来高效快捷,大大改善了交通拥堵的问题。本设计是以单片机为基础的交通灯控制系统的设计,利用硬件和软件相结合的方式设计出能够解决交通灯控制系统的设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。硬件方面,它由AT89C51单片机为主控芯片,结合LED显示电路、电源电路、复位电路、晶振电路等辅助电路组成交通灯控制系统。软件方面,使用C语言作为软件编程语言进行程序设计,并给出软件设计流程图。该交通灯控制系统设计完成之后能够用有效的改善拥堵的十字路口的交通,在遇到紧急情况时可人性化控制。关键词:AT89C51;LED;交通灯控制系统xx大学本科毕业设计(论文)ⅡTheDesignofTrafficLightControlSystemABSTRACTIntelligenttrafficlightcontrolsystemisthetrafficlightcontrolsystembasedonsinglechipdesign,itisinthetrafficusingaveryfrequent,caneffectivelyhelpsolvetheproblemoftrafficcongestion,theuseoffastandefficient,greatlyimprovethetrafficcongestionproblem.Thisdesignisbasedonthesingle-chipdesignoftrafficlightcontrolsystem,useofcombinationofhardwareandsoftwaredesignedtoaddressthedesignoftrafficlightcontrolsystem.Hardware,itconsistsofmasterAT89C51microcontrollerchips,combinedwithLEDdisplaycircuit,resetcircuit,powersupplycircuits,oscillatorcircuitsecondarycircuittrafficlightcontrolsystem.Software,softwaredesignfortheflowchart,programmingusingcasaprogramminglanguage.Afterthecompletionofthedesignoftrafficlightcontrolsystemcaneffectivelyimprovethecongestedtrafficatthecrossroads,intimesofemergencysituationshumancontrol.Keywords:AT89C51;LED;TrafficlightcontrolsystemⅢ目录TOC\o"1-3"\h\z\uHYPERLINK\l_Toc14429摘要PAGEREF_Toc14429IHYPERLINK\l_Toc20805ABSTRACTPAGEREF_Toc20805IIHYPERLINK\l_Toc51611绪论PAGEREF_Toc51611HYPERLINK\l_Toc114791.1交通信号灯控制系统的介绍PAGEREF_Toc114791HYPERLINK\l_Toc246241.2交通灯控制系统的应用PAGEREF_Toc246241HYPERLINK\l_Toc106651.3本设计所要实现的目标PAGEREF_Toc106651HYPERLINK\l_Toc203791.4本文的设计方案:采用以单片机为核心的控制方案PAGEREF_Toc203792HYPERLINK\l_Toc40642主要器件介绍PAGEREF_Toc40643HYPERLINK\l_Toc197612.1主控芯片的选择PAGEREF_Toc197613HYPERLINK\l_Toc75642.1.1AT89C51单片机性能简介PAGEREF_Toc75643HYPERLINK\l_Toc193322.1.2AT89C52单片机引脚功能说明PAGEREF_Toc193323HYPERLINK\l_Toc319232.2显示器的选择PAGEREF_Toc319235HYPERLINK\l_Toc121142.2.17段数码管性能简介PAGEREF_Toc121145HYPERLINK\l_Toc78432.2.27段数码管功能说明PAGEREF_Toc78436HYPERLINK\l_Toc24983系统硬件构成PAGEREF_Toc24987HYPERLINK\l_Toc86923.1设计原理PAGEREF_Toc86927HYPERLINK\l_Toc72983.2外围电路PAGEREF_Toc72987HYPERLINK\l_Toc188453.2.1电源电路PAGEREF_Toc188457HYPERLINK\l_Toc310853.2.2复位电路PAGEREF_Toc310858HYPERLINK\l_Toc236213.2.3晶振电路PAGEREF_Toc236218HYPERLINK\l_Toc219703.2.4LED显示电路PAGEREF_Toc219709HYPERLINK\l_Toc225604系统软件设计PAGEREF_Toc2256011HYPERLINK\l_Toc176064.1主程序设计PAGEREF_Toc1760611HYPERLINK\l_Toc89164.2系统初始化子程序的设计PAGEREF_Toc891611HYPERLINK\l_Toc247304.2.1有车放行时的中断服务程序PAGEREF_Toc2473012HYPERLINK\l_Toc41474.2.2紧急情况中断服务程序PAGEREF_Toc414714HYPERLINK\l_Toc10864.2.3显示子程序的设计PAGEREF_Toc108614HYPERLINK\l_Toc59305软件调试及仿真PAGEREF_Toc593017HYPERLINK\l_Toc281365.1编程软件简介PAGEREF_Toc2813617HYPERLINK\l_Toc258825.1.1Keil软件的简介PAGEREF_Toc2588217HYPERLINK\l_Toc203385.1.2ProteusISIS软件简介PAGEREF_Toc2033818ⅣHYPERLINK\l_Toc66685.2仿真结果分析PAGEREF_Toc666818HYPERLINK\l_Toc289395.2.1南北绿灯通行50s倒计时显示PAGEREF_Toc2893919HYPERLINK\l_Toc32255.2.2南北黄灯闪烁显示PAGEREF_Toc322519HYPERLINK\l_Toc188145.2.3东西绿灯通行50s倒计时显示PAGEREF_Toc1881419HYPERLINK\l_Toc206235.2.4东西黄灯闪烁显示PAGEREF_Toc2062320HYPERLINK\l_Toc319516结论PAGEREF_Toc3195121HYPERLINK\l_Toc932参考文献PAGEREF_Toc93222HYPERLINK\l_Toc7315致谢PAGEREF_Toc731523HYPERLINK\l_Toc6384附录APAGEREF_Toc638424HYPERLINK\l_Toc8206附录BPAGEREF_Toc820625xx大学本科毕业设计(论文)Ⅴ编号:时间:2021年x月x日书山有路勤为径,学海无涯苦作舟页码:第PAGE1页共NUMPAGES1页第PAGE\*MERGEFORMAT1页共NUMPAGES\*MERGEFORMAT1页1绪论1.1交通信号灯控制系统的介绍世界上最早的交通信号控制系统是1963年建于加拿大多伦多的交通信号检测与控制系统。也是城市交通管理系统的一个雏形。相继出现的城市道路中心式交通控制系统在美国、欧洲和日本等国逐渐形成。最早的智能交通灯控制系统源于美国,目前智能交通在美国的应用已达80%以上。20世纪80年代由于美国城市交通问题主要体现在高速公路形成后如何与城市交通管理相结合,才能减少旅行时间,提高效率,更好地检测到事故发生并且能够在事故发生后很好的处理,所以ATMS早期在美国主要就是建立城市交通信号控制系统CTSCS。1995年3月美国在“国家智能交通系统项目规划”中明确规定了智能交通系统的7大领域。分别是出行和交通管理系统、出行需求管理系统、公交运营系统、商务车辆运营系统、电子收费系统、应急管理系统、先进的车辆控制和安全系统。1.2交通灯控制系统的应用当今世界,红绿灯已经被安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一现象在19世纪就已出现了,19世纪初,在英国中部的约克城,红、绿装分别代表女性的不同身份。其中,着红装的女人表示我已结婚,而着绿装的女人则是未婚者。后来,英国伦敦议会大厦前经常发生马车轧人的事故,于是人们受到红绿装启发,1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德·哈特设计、制造的灯柱高7米,身上挂着一盏红、绿两色的提灯--煤气交通信号灯,这是城市街道的第一盏信号灯。随着经济的高速发展,私家车、公交车的快速增加给交通通行带来了沉重的负担。随着交通灯控制系统与计算机技术及信息技术的逐渐结合,如何设计出高度智能化和人性化的交通灯控制系统,已成为未来交通信号灯控制系统的发展趋势。1.3本设计所要实现的目标基于AT89C51单片机的交通灯控制系统,由电源电路、单片机主控电路、显示电路、晶振电路和复位电路几部分组成。交通灯控制系统控制指挥十字路口的交通,本设计先是从普通三色灯的指示开始进行设计。程序的初始化是东西南北方向的红灯全亮。然后南北方向绿灯亮,东西方向红灯亮,50秒后东西方向黄灯闪亮3秒后南北方向红灯亮,东西方向绿灯亮。重复执行,倒计时用到定时器T0,用P2口作为LED的显示。二位一体的LED重复执行50秒的倒计时。作为突发事件的处理,本设计主要用到外部中断EX0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。1.4本文的设计方案:采用以单片机为核心的控制方案交通灯控制系统的设计主要包括微处理器的选择、显示器的选择及硬件电路和软件的设计。系统硬件方面,控制芯片选取美国Atmel公司的AT89C51单片机,显示器选择八位七段数码管。利用AT89C51单片机作为核心控制器件,控制倒计时将不同状态显示在LED上。在软件方面,首先利用单片机进行数据处理,给出交通灯灯控制系统的软件设计流程图,最后采用C语言对控制源程序进行编译,使交通灯控制系统能够正常运行,控制倒计时显示出不同状态。2主要器件介绍2.1主控芯片的选择本设计选用的微处理器是兼容标准MCS-51指令的AT89C51单片机。2.1.1AT89C51单片机性能简介AT89C51是近年来最流行也是运用最多的芯片,它是ATMEL,PHILPS和SST等公司生产的带片内4KBFLASHROM,片内256字节RAM,4个8为并行I/O口,两个16为定时器和5个中断源的低功耗,高性能微处理器。由于其FLASHROM是可电擦出和电改写的闪速存储器,给开发人员带来了很大的方便,因此其近年来备受人们青睐。AT89C51单片机的主要性能特征如下:与MCS-51兼容;4K字节可编程Flash存储器;寿命:1000写/擦循环;数据保留时间:10年;全静态工作:0HZ-24MHZ;三级程序存储器锁定;128*8为内部RAM;32可编程I/O线;两个16位定时器/计数器;5个中断源;可编程串行通道;低功耗的闲置和掉电模式;片内振荡器和时钟电路。2.1.2AT89C51单片机引脚功能说明AT89C51的引脚如图2-1所示。图2-1AT89C51的引脚图图2-1是AT89C51的引脚结构图,它是双列直插式封装,其引脚功能说明如下:(1)VCC:电源端,+5V;(2)GND:接地端;(3)XTAL1:振荡电路反相放大器和外部时钟脉冲的输入端;(4)XTAL2:振荡电路反相放大器输出端;(5)RST:复位信号输入端,高电平有效。当此输入端保持两个机器周期的电平时,就可以实现复位操作;(6)ALE:地址所存允许信号端。正常工作时不断向外输出频率为振荡频率1/6的正脉冲信号,CPU访问片外存储器时作为锁存低8为的控制信号;(7):程序存储输出允许信号端,当片外存储器取指令时,一个机器周期有两个脉冲输出,在此期间,每当访问片外存储器时,这两个有效的不再出现;(8)/VPP:外部存储器访问允许端。当/VPP低电平时只执行片外存储器指令;(9)P0:P0口是漏极开路的8位输入输出端口;每位可驱动8个TTL负载;(10)P1:P1口是内部有上拉电阻的8位双向I/O端口,其输出缓存器可驱动4个TTL门;(11)P2:P2口是一个内部有上拉电阻的8位双向I/O口,其输出缓存器能够驱动4个TTL门,若端口接收写1指令,其上拉电阻使端口成高电位,此时用作输入口;(12)P3:P3口是一个内部有上拉电阻的8位双向I/O口,其输出缓存器能够驱动4个TTL门,若端口接收写1指令,其上拉电阻使端口成高电位,此时用作输入口;此外P3还有第二功能,其第二功能如表2-1表2-1P3端口引脚第二功能表端口引脚第二功能P3.0RXD串行口输入口P3.1TXD串行口输出口P3.2外部中断0P3.3外部中断1P3.4T0定时器/计数器0的外部输入口P3.5T1定时器/计数器1的外部输入口P3.6片外存储器片写选通P3.7片外存储器读选通2.2显示器的选择本设计选用的显示器是共阳极2位7段LED显示器,如图2-3数码管图。图2-22位7段数码管图2.2.17段数码管性能简介七段数码管每段由发光LED组成。发光二极管LED是一种简单而常用的输出设备,它的优点是价格低,寿命长,对电流、电压的要求低及容易实现多路等;但具有亮度较低,温度依赖性较大等缺点[6]。LED适宜于脉冲工作状态,在平均电流相同的情况下,脉冲工作状态可产生比直流工作状态较强的亮度。一般其每秒可导通100次~500次,每次为几毫秒。2.2.27段数码管功能说明7段LED有共阴极与共阳极两种,当公共阴极接地时,阳极上的信息为“1”时,段就点亮;信息为“0”时,段就不亮。当公共阳极接到+5V,阴极上的信息为“1”时,段就不亮;信息为“0”时,段就点亮。图2-3表示7段LED内部段的排列[7]。LED显示器有静态显示和动态显示两种方式。静态显示就是当显示器显示某个字符时,相应的段(发光二极管)恒定地导通或截止,直到显示另一个字符为止。这种显示方式编程容易,管理也较简单,但占用I/O口线资源较多。因此在显示位数较多的情况下,一般采用动态显示方案。在多位LED显示时,为简化电路,降低成本,将所有位的段选线并联在一起,由一个8位I/O口控制。而共阴(阳)极公共端分别由相应的I/O线控制,实现各位的分时选通[8]。P2口输出位选码,P1口输出段选码,位选码占用输出口的线决定于显示器的位数,比如8位就要占8条。74LS245是我们常用的芯片,用来驱动LED或者其他的设备,它是8路同相三态双向总线收发器,可双向传输数据。74LS07是同向OC门,做位选码驱动器,输入低电平时输出是地电位,输入为高电平时,输出开路[9]。图2-37段数码管内部排列图3系统硬件构成3.1设计原理框图基于AT89C51单片机的交通信号灯控制系统由电源电路、单片机主控电路、按键控制电路和显示电路几部分组成,框图如下图所示:图3-1设计结构框图3.2外围电路3.2.1电源电路本次设计的电源电路为+5V稳压电源,其电路如图3-2所示。图3-2电源电路稳压电源电路即利用晶体管作为调整元件和负载串联,调整元件看做是可变电阻,从输出电压中提取全部或部分电压调节调整管所呈现的电阻来维持输出电压基本不变。它的输出电压可以随意连续调节,输出电流也可达到很大,稳压精度较高。稳压电源电路主要由变压器、三端集成稳压器7805、整流电路、滤波电路组成。变压器是利用电磁感应原理进行变换交流电压、阻抗和电流的器件;三端稳压器可靠性高、精度高、电路实现简单且价格低廉,可以实现可靠的直流稳压电源;整流电路采用全桥式整流桥,即利用四个二极管两两并联后接入输出电压;电容滤波电路即在输出端并联一个电容器。3.2.2复位电路复位电路操作有上电自动复位电路和按键手动复位两种方式。上电复位电路是加电瞬间电容通过充电来实现的。手动复位,是指通过接通一按钮开关,使单片机进入复位状态。系统上电运行后需要复位,一般是通过手动复位来实现的,通常采用手动复位和上电自动复位组合。如图3-3为按键电平复位电路图。图3-3复位电路3.2.3晶振电路单片机必须需要时钟的驱动才能正常工作,单片机内部有个时钟振荡电路,所以只需外接一个振荡源就能产生一定周期的时钟信号从而到达单片机内部的各个单元,决定单片的工作频率。晶振与单片机的连接电路图,晶振电路主要由石英晶体和两个电容构成稳定的自激时钟振荡器。该电路中,晶体一般选取12MHZ,电容取30pF左右。时钟电路:AT89C51单片机的时钟电路一般是在他的始终引脚外接晶体振荡器件,和内部高增益反向放大器构成自激振荡器电路。振荡器频率取决于晶体的频率C1和C2起频率微调和稳定作用。如图3-4所示:图3-4晶振电路3.2.4LED显示电路数码管是一种半导体发光器件,其基本单元是发光二极管。数码管在其中要加相应大小的上拉电阻,上拉电阻的作用就是增大电流,是数码管更亮,在此设计中,由于I/O接口数量足够,所以采用数码管静态显示方法,这样虽然浪费I/O接口,但是本设计条件允许,使软件设计更简单,更容易。采用的数码管为共阴极,所用公共端接地。其电路图如2-5所示:数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按能显示多少个“8”可分为1位、2位、4位等等数码管;按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。当某一字段的阳极为低电平时,相应字段就不亮。图3-5LED显示电路图4系统软件设计4.1主程序设计主程序在整个软件中起主导作用,所以主程序的正误直接影响到整个设计的正确率和进程。在主程序里,主程序通过调用子程序,来完成控制命令。主程序采用查询方式定时,由R2寄存器确定调用延时子程序的次数,从而获取交通灯的各种时间。主程序中完成对交通灯系统的初始化工作,使得A、B两干道能正常转换工作,在系统中,由于用LED数码管显示交通倒计时,在主程序中分别调用三个子程序延时子程序、中断子程序、显示子程序。主程序如图3-1所示。本系统采用两种中断来实现交通灯控制器的功能。设置外部中断INT0,该中断用来处理紧急情况,当交通灯控制器出现故障或交通出现严重事故需要封锁道路时,调用该中断,使A道和B道的交通灯均为红灯50s。该中断具有最高的优先级。设置外部中断INT1,该中断用来处理根据A道与B道车辆实时交通情况的多少实行延长时间通行,通行延时3s。4.2系统初始化子程序的设计在主程序运行之前,先要进行一些初始化工作。主要有对堆栈、I/O口、定时计数器、特殊功能寄存器的设置;除此而外,还要对温度设定值和时间设定值进行初始化。运用程序对系统初始化是对本系统中所用到的模块进行初始设置,从而满足硬件模块在控制软件中的使用要求。与此同时还需要对单片机的一些外围电路进行初始化设置开始初始化A绿灯B红灯延时50sA绿灯闪亮3次A黄灯B红灯延时3sB绿灯闪烁3次图4-1主流程图4.2.1有车放行时的中断服务程序中断响应关中断保护现场开中断A道有车?开中断恢复现场关中断延时50sA绿灯B红灯B道有车?A红灯B绿灯N返回YYN返回图4-2有车放行中断流程图4.2.2紧急情况中断服务程序中断子流程图,当出现紧急情况时的中断程序。中断响应恢复现场延时50sA红灯B红灯保护现场返回图4-3紧急情况中断流程图4.2.3显示子程序的设计本设计各路口灯比较多,各通行状态前文已有描述在此不一一赘述。虽然整个系统LED灯的数量比较多,但各个方向的灯各司其职使交通指挥更加显而明了。在人为手动复位和初始化的情况下,各路口所有交通灯均点亮。此时若有LED灯出现故障,一目了然,可以及时检修。若有紧急中断信号出现,显示子程序优先处理中断程序,将所有路口的信号灯置为红色禁止通行。紧急状态解除各信号灯再进入正常状态显示。NYAT89C51初始化四个路口的灯全部点亮按设定的交通方案显示各路口LED灯的状态开始倒计时显示延时返回是否出现紧急状况所有路口LED置红色图4-4显示流程图5软件调试及仿真5.1编程软件简介5.1.1Keil软件的简介单片机开发中不仅要硬件外,还要软件。通常我们需要把编写的源程序通过机器汇编变为机器代码。机器汇编是用汇编软件将源程序变为机器代码,用于MCS-51单片机的汇编软件有早期的A51,随着单片机单片机的开发软件的不断发展,Keil软件目前是开发MCS-51系列单片机最为流行的软件。近年来各仿真厂商全面支持Keil软件,为Keil软件提供了C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等一系列完整的开发方案,用一个集成开环境将这些部分结合在一起。KeilC51软件具有丰富的库函数和功能强大的集成开发调试工具。最为重要的是只要阅读一下编译后生成的汇编代码,就能了解KeilC51生成的目标代码,多数语句生成的代码很紧凑,容易理解。在一些大型软件开发中运用它便能体现出高级语言的优势。KeilC51软件调试界面如图5-1所示。图5-1KeilC51软件调试界面图5.1.2ProteusISIS软件简介ProteusISIS是英国Labcenter公司开发的电路分析与实物仿真软件[15]。它可以在Window操作系统上运行,还可以分析和仿真各种模拟器件、集成电路。该软件的特点是:(1)实现了SPICE电路和单片机仿真相结合。(2)具有模拟电路、数字电路、单片机外围电路组成系统仿、RS232动态、SPI调试器、键盘和LED显示器等系统仿真功能。(3)有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等功能。(4)支持一些单片机系统的仿真。目前支持的单片机型号有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。(5)提供软件调试功能。(6)硬件仿真系统具有全速、单步、设置断点等调试功能,还可以观察各个变量、寄存器等的当前状态。因此此软件仿真系统中也具有这个功能。(7)同时也支持KeilC51的编译和调试环境。图4-2ProteusISIS软件仿真界面5.2仿真结果分析5.2.1南北绿灯通行50s倒计时显示东西红灯亮50s倒计时开始机动车辆禁止通行,南北绿灯亮50s倒计时开始,机动车辆开始放行。西北东南东西北图5-2南北通行南北黄灯闪烁显示东西延时红灯延时3s,南北方向黄灯闪烁3s警示停车。西东南北图5-3南北黄灯警示东西绿灯通行50s倒计时显示南北红灯亮50s倒计时开始机动车辆禁止通行,东西绿灯亮50s倒计时开始,机动车辆开始放行。西东南北图5-4东西通行5.2.4东西黄灯闪烁显示南北延时红灯延时3s,东西方向黄灯闪烁3s警示停车。北东西南图5-5东西黄灯警示6结论本设计基于单片机技术,利用美国爱特梅尔(Atmel)公司的AT89C51单片机作为主控芯片、7段数码管作为显示器、结合电源电路、晶振振荡电路、复位电路等外围辅助电路,并采用C语言对程序进行编译。通过硬件和软件相结合的方式完成了基于单片机的交通灯控制系统的设计,从而实现了AT89C51单片机通过串口与显示电路、晶振电路、复位电路构成的交通灯控制系统。该控制系统简单可靠,具有较好的适用性和推广价值。通过本次毕业设计,我明白了不管做什么事情,都要有一定的目标和 计划 项目进度计划表范例计划下载计划下载计划下载课程教学计划下载 ,向着目标,脚踏实地的做下去,那么,我们一定会成功。参考文献[1]孙玉芳.基于单片机的智能交通灯控制系统的研究[M].哈尔滨:哈尔滨 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 大学出版,2009:1-100.[2]潘永雄.电子线路CAD实用教程[M].西安:西安电子科技大学出版社,2010:20-80.[3]彭为.单片机典型系统设计实例精讲[M].北京:电子工业出版社,2006:15-120.[4]江力.单片机原理及其接口技术[M].北京:清华大学出版社,2006:86.[5]楼然苗,李光飞.单片机课程设计指导[M].北京:北京航空航天大学出版社,2007:55-100.[6]马忠梅.单片机的C语言程序设计[M].北京:北京航空航天大学出版社,2007:30-50.[7]雷伏容.51单片机常用模块设计查询手册[M].北京:清华大学出版社,2010:60-150[8]王威勇.智能交通灯控制系统[M].广东:广东技术师范学院出版社,2006:130-223.[9]赵湘纹.基于MCS-51单片机的多功能交通灯控制系统设计[J].宁德师专学报(自然科学版),2005,(04):385-387.[10]张朝鑫.基于单片机的中、小城市的交通灯控制系统研究[M].昆明:昆明理工大学出版社,2010:120-180.[11]肖景和.数子集成电路应用精粹[M].北京:人民邮电出版社,2002:56.[12]孙传友,孙晓斌.测控系统原理与设计[M].北京:北京航空航天大学出版社,2007:121-130.[13]黄坚.自动控制原理及其应用[M].北京:高等教育出版社,2009:218-220.[14]刘鲲,孙春亮.单片机C语言入门[M].北京:人民邮电出版社,2010:10-15.[15]杨居义,杨尧,王益斌.单片机课程设计指导[M].北京:清华大学出版社,2009:1-50.致谢本设计是在指导教老师的悉心指导下完成的,从论文的选题、研究方案的制定等,各个方面都离不开指导老师热情耐心的帮助和指导。指导老师是位认真负责的好老师,他多次询问我的毕设进展和实验过程,并为我指点迷津,帮助我开拓思路,为我指引了正确的研究方向,使我少走了许多弯路。他严肃的科学态度,严谨的治学精神,踏实认真的工作作风深深地激励着我。在他的熏陶下,我意识到无论作为一名科学工作者,还是科技工作者,都要时刻保持严谨细致、一丝不苟的作风。使我掌握了一些基本的研究方法,明白了许多待人接物和为人处世的道理。在本次毕业设计的整个过程中,指导老师倾注了大量的心血。在此,谨向老师表示崇高的敬意和衷心的感谢!感谢您对我的倾囊赐教、鞭策鼓励,您的谆谆教诲我将会铭记在心。同时我还要感谢大学四年来伴我成长的各位老师、朋友和同学;感谢答辩组的各位老师;感谢母校对我的培养。我要用我的所学,来回报母校,回报社会。最应该感谢的是生我养我的父母,是他们给予了我无私的爱,为我的成长付出了许多,是他们教育我要成为祖国的栋梁之才,是他们坚定了我追求人生理想的信念。大恩无以言表,惟有以永不停息的脚步、永无止境的奋斗,回报父母二十多年来的养育之恩。附录A附录B软件程序部分:***************************************************************基于单片机的交通灯控制系统****************************************************************#include#defineucharunsignedcharucharcount,second,i,flag;sbith_red=P2^1;//定义端口sbith_green=P2^2;sbith_yellow=P2^3;sbitl_red=P2^4;sbitl_green=P2^5;sbitl_yellow=P2^6;ucharcodetable[]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};//*********************************//主程序//*********************************voidmain(){P1=0x00;//关闭显示P3=0x00;flag=1;//置标志位second=50;//状态1,4,红绿灯亮50sTMOD=0x01;//设置定时器0,为方式1TH0=0x3c;//置定时器的初始值,定时50msTL0=0xb0;TR0=1;//启动定时器IE=0x82;//允许中断while(1);}//***********************************//状态1,东西方向绿灯亮,南北方向红灯亮//***********************************voidstate1(void){h_red=1;//东西方向绿灯亮h_green=0;h_yellow=0;l_red=0;l_green=1;l_yellow=0;//南北方向红灯亮}//************************************//状态2,东西方向绿灯闪,南北方向红灯亮//************************************voidstate2(void){h_red=1;h_green=0;h_yellow=0;l_red=0;l_green=0;l_yellow=0;}//************************************//状态3,东西方向黄灯闪,南北方向红灯亮//************************************voidstate3(void){h_red=1;h_green=0;h_yellow=0;l_red=0;l_green=0;l_yellow=1;}//*************************************//状态4,东西方向红灯亮,南北方向绿灯亮//*************************************voidstate4(void){h_red=0;h_green=1;h_yellow=0;l_red=1;l_green=0;l_yellow=0;}//**************************************//状态5,东西方向红灯亮,南北方向绿灯闪//**************************************voidstate5(void){h_red=0;h_green=0;h_yellow=0;l_red=1;l_green=0;l_yellow=0;}//**************************************//状态6,东西方向红灯亮,南北方向黄灯闪//**************************************voidstate6(void){h_red=0;h_green=0;h_yellow=1;l_red=1;l_green=0;l_yellow=0;}//***************************************//中断程序//***************************************voidint_0()interrupt1using0{count++;TH0=0x3c;TL0=0xb0;switch(flag){case1://标志位为1,则显示第一种状态{state1();//调用状态1if(count==50)//是否到3s,未到则退出中断程序{count=0;if(second>0)//50秒是否显示完,未完则显示秒值{P1=table[second/10];//显示十位P3=table[second%10];//显示个位second--;//秒值减1}else//50秒是否显示完,显示完了则全显示0{P1=0x3f;P3=0x3f;second=3;//状态1显示完了,秒值再赋初值3sflag=2;//标志位置2,下次中断将显示第二种状态}}}break;//*********************************case2://标志位为2,则显示第三种状态{state2();//调用状态2if(count>=10)//是否到500ms,未到则退出中断程序{count=0;l_green=~l_green;//到500ms,则取反南北方向的绿灯,绿灯闪i++;if(i==2)//是否到1s,未到则退出中断程序{i=0;if(second>0)//3秒是否显示完,未完则显示秒值{P3=table[second%10];//显示十位P1=table[second/10];//显示个位second--;//秒值减1}else{P1=0x3f;P3=0x3f;second=2;//状态2显示完了,秒值再赋初值2sflag=3;//标志位置3,下次中断将显示第三种状态i=0;}}}}break;//*********************************case3://标志位为3,则显示第三种状态{state3();//调用状态3if(count>=10)//是否到500ms,未到则退出中断程序{count=0;l_yellow=~l_yellow;//到500ms,则取反南北方向的黄灯,黄灯闪i++;if(i==2)//是否到1s,未到则退出中断程序{i=0;if(second>0)//2秒是否显示完,未完则显示秒值{P3=table[second%10];//显示十位P1=table[second/10];//显示个位second--;//秒值减1}else{P1=0x3f;P3=0x3f;second=50;//状态3显示完了,秒值再赋初值20sflag=4;//标志位置4,下次中断将显示第四种状态i=0;}}}}break;//************************************case4://标志位为4,则显示第四种状态{state4();if(count==50){count=0;if(second>0){P1=table[second/10];P3=table[second%10];second--;}else{P1=0x3f;P3=0x3f;second=3;//状态4显示完了,秒值再赋初值3sflag=5;//标志位置5,下次中断将显示第五种状态}}}break;//************************************case5://标志位为5,则显示第五种状态{state5();if(count>=10){count=0;i++;h_green=~h_green;//到500ms,则取反东西方向的绿灯,绿灯闪if(i==2){i=0;P1=table[second/10];P3=table[second%10];second--;}if(second==0){P1=0x3f;P3=0x3f;second=2;//状态5显示完了,秒值再赋初值2sflag=6;//标志位置6,下次中断将显示第六种状态i=0;}}}break;//**************************************case6://标志位为6,则显示第六种状态{state6();if(count>=10){count=0;i++;h_yellow=~h_yellow;if(i==2)//到500ms,则取反东西方向的黄灯,黄灯闪{i=0;if(second>0){P3=table[second%10];P1=table[second/10];second--;}else{P1=0x3f;P3=0x3f;second=20;//状态6显示完了,秒值再赋初值20sflag=1;//标志位置1,下次中断将显示第一种状态i=0;}}}}break;default:break;}
本文档为【交通灯控制系统设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥10.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
腐朽的灵魂
暂无简介~
格式:doc
大小:422KB
软件:Word
页数:0
分类:
上传时间:2021-07-07
浏览量:3