首页 实验四八位七段数码管动态显示电路的设计

实验四八位七段数码管动态显示电路的设计

举报
开通vip

实验四八位七段数码管动态显示电路的设计八位七段数码管动态显示电路的设计一、实验目的1、了解数码管的工作原理。2、学习七段数码管显示译码器的设计。3、学习VHDL的CASE语句及多层次设计方法。二、实验原理七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位■体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。由于七段数码管公共端连接到Ib,当数码管的中的那一个段被输入高电数码管与之相么。四位一体的七段数数码管的位选信号端口。八个数码管个数码管分别由各的位选信号来控制,被选通的数码管显示数据,其余关闭。三、实验内容本实验要...

实验四八位七段数码管动态显示电路的设计
八位七段数码管动态显示电路的设计一、实验目的1、了解数码管的工作原理。2、学习七段数码管显示译码器的设计。3、学习VHDL的CASE语句及多层次设计方法。二、实验原理七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位■体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。由于七段数码管公共端连接到Ib,当数码管的中的那一个段被输入高电数码管与之相么。四位一体的七段数数码管的位选信号端口。八个数码管个数码管分别由各的位选信号来控制,被选通的数码管显示数据,其余关闭。三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。四、实验步骤1、打开QUARTOSI软件,新建一个工程。2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。4、编写完VHDL程序后,保存起来。方法同实验一。5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译一次,以使管脚分配生效。7、根据实验内容用实验导线将上面管脚分配的FPGAf脚与对应的模块连接起来。如果是调用的本书提供的VHDL代码,则实验连线如下:CLKFPGA寸钟信号,接数字时钟CL0CK3并将这组时钟设为1024HZ。S4,S3,S2,SloKEY[3..0]:数码管显示输入信号,分别接拨动开关的LEDAG[6..0]:数码管显示信号,接数码管的G、F、E、D、C、B、A。SEL[2..0]:数码管的位选信号,接数码管的SEL2、SEL1、SEL0。8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、实验现象与结果以设计的参考示例为例,当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1464HZ,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动开关所 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 示的六进制的值。六、源代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitysmgisk:instd_logic_vector(3downto0);-定义动态扫描时钟信号定义四位输入信号定义七位输出信号downto0)—定义八位数码管位置显port(elk:instd_logic;--ledag:outstd_logic_vector(6del:bufferstd_logic_vector(2示信号);endsmg;architecturebehaofsmgisSignalkey:std_logic_vector(3downto0);beginprocess(elk)variabledount:std_logic_vector(2downto0);beginifelk'eventandelk二'1'then--检测时钟上升沿dount:=dount+l;--计数器dount累加endif:del<=dount;endprocess;process(del,k)begincase(del)iswhen〃000"二〉key〈二k;--+〃0000〃when〃001〃二〉key〈二k;--+〃0001〃when〃010〃二〉key〈二k;--+〃0010〃whenz/0ir,=>key<=k;--+〃0011〃when〃100"二〉key〈二k;--+〃0100〃when"101〃二〉key〈二k;--+〃0101〃;when〃110〃二〉key〈二k;--+〃0110〃;when/zlir/=>key<=k;--endcase;endprocess;process(key)begincasekeyiswhen〃0000〃二〉ledag〈二〃0111111〃;when"0001〃=)ledag〈二〃0000110〃;when〃0010〃=>ledag〈二〃1011011〃;when"0011"=>ledag〈二〃1001111";when〃0100〃二〉ledag〈二〃1100110〃;when〃0101"二〉ledag〈二〃1101101〃;when"0110〃=>ledag〈二〃1111101〃;when〃0111〃二〉ledag〈二〃0000111〃when〃1000〃二〉ledag〈二〃1111111〃;when〃1001〃二〉ledag〈二〃1101111〃when〃1010〃=>ledag〈二〃1110111〃;when〃1011〃二〉ledag〈二〃1111100〃when〃1100〃二〉ledag〈二〃0111001〃;when〃1101〃二〉ledag〈二〃1011110〃when=>ledag〈二〃1111001〃;when"1111〃二〉ledag〈二〃1110001〃;whenothers=>null;endcase;endprocess;endbeha;
本文档为【实验四八位七段数码管动态显示电路的设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_072127
暂无简介~
格式:doc
大小:16KB
软件:Word
页数:0
分类:
上传时间:2018-09-18
浏览量:19