首页 ICC的基本使用步骤

ICC的基本使用步骤

举报
开通vip

ICC的基本使用步骤ICC的基本使用步骤用icc_shell-g启动GUI界面在GUI界面中,File—>OpenDesign打开已建立好的MWlibrary;若是新建立MWlibrary,一般用以下步骤:(最好每做一个步骤,保存一次数据,如:save_mw_cel-asfloorplan)步骤1:在lab1_flow路径下启动ICCshell。>icc_shell–gui 步骤2:设置searchpath、target_library、link_library:Lib_setup.tcl:#Librarysetup lappends...

ICC的基本使用步骤
ICC的基本使用步骤用icc_shell-g启动GUI界面在GUI界面中,File—>OpenDesign打开已建立好的MWlibrary;若是新建立MWlibrary,一般用以下步骤:(最好每做一个步骤,保存一次数据,如:save_mw_cel-asfloorplan)步骤1:在lab1_flow路径下启动ICCshell。>icc_shell–gui 步骤2:设置searchpath、target_library、link_library:Lib_setup.tcl:#Librarysetup lappendsearch_path./ref/db./ref/tlup settarget_library"sc_max.db" setlink_library"*" foreachlib{scioram16x128}{ lappendlink_library${lib}_max.db set_min_library${lib}_max.db-min_ver${lib}_min.db }步骤3:为设计创建library。>create_library步骤4:打开创建的library。>open_mw_lib步骤5:读入verilog网表。>read_verilog步骤6:确认当前顶层设计。>current_designRISC_CHIP 步骤7:将网表中例化的单元与参考库中的单元做连接。>link 步骤8:设置TLU文件。步骤9:读入SDC文件,设置芯片工作环境。步骤10:检查设计的合理性。>set_zero_interconnect_delay_modetrue >report_timing >report_constraints–all_violators >set_zero_interconnect_delay_modefalse 步骤11:在设计中添加电源pad和cornercell等physicalonlycells。phy_cells.tcl:#cornercells create_cell{cornerllcornerlrcornerulcornerur}pfrelr #pgpads create_cell{vss1leftvss1right}pv0i create_cell{vdd1leftvdd1right}pvdi create_cell{vss2leftvss2right}pv0a create_cell{vdd2leftvdd2right}pvda 步骤12:读入IO约束文件.。>read_io_constraints步骤13:初始化floorplan。>initialize_floorplan步骤14:加入padfiller并生成padring。complete_die.tcl #SetPadFillers setfeedthrupads"pfeed10000pfeed05000pfeed02000" #InsertPadFillers insert_pad_filler-cell$feedthrupads #ConnectPGnets procupdate_pg{}{ foreachnet{VDDVDDOVDDQ}{connect_pg_nets-nets$net-ports$net-net_typepower} foreachnet{VSSVSSOVSSQ}{connect_pg_nets-nets$net-ports$net-net_typeground} connect_pg_nets-update_tie_hi_lo } update_pg #CreatePadRings create_pad_rings 步骤15:自动做floorplan的placement,作为为floorplan的参考。>create_fp_placement步骤16:手动摆放Macro,并完成flooplan。>create_fp_placement–incrementalall步骤17:创建corerings及powerstraps。步骤18:做电源网络分析(PNA),查看电源规划的IRDrop。步骤19:设置placeblockage。步骤20:布instance的电源和地。>preroute_instances步骤21:布powerrail(给 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 单元供电的电源和地线)。>preroute_standard_cells步骤22:设置placement的约束。在METAL5METAL6的PG线下面不摆放标准单元。>set_pnet_options–complete{METAL5METAL6}步骤23:布局(placement)。placement这一步还会做scanchain的reorder,HFS.>place_opt >report_timing >report_constraints–all_violators 步骤24:时钟树综合优化及布线。>clock_optNdr_settings.tcl:remove_routing_rules-all define_routing_ruledouble_spacing\ -spacings{METAL20.6METAL30.6METAL40.8METAL51.2METAL61.4} set_clock_tree_options-routing_ruledouble_spacing\ -layer_list{METAL3METAL4METAL5METAL6} 重新定义关于clockuncertainty的定义,去掉其中估计的clockskew的部分。>set_clock_uncertainty0.1[all_clocks] >clock_optCTS之后开始关心holdtime,如果有holdviolation,可以用下面命令修复>clock_opt–fix_hold_all_clocks 步骤25:布线(routing)。>route_opt 用report_timing–max或–min查看setup\hold是否满足设计 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 (或者report_constraints–all_violators)。步骤26:做布线后DRC检查。>verify_drc步骤27:可制造性设计。例如检查天线效应,先load天线效应的rules(由libraryvendor提供)>sourcescripts/cb13_6m_antenna.tcl 用report_antenna_rules查看Antenna规则;用report_antenna_ratio查看天线效应报告。“@@@@Totalnetsnotmeetingconstraints=”后面显示了violation的数量。用route_search_repair修复
本文档为【ICC的基本使用步骤】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_977556
暂无简介~
格式:doc
大小:29KB
软件:Word
页数:0
分类:
上传时间:2021-09-07
浏览量:13