首页 DDS原理及AD应用设计LLL

DDS原理及AD应用设计LLL

举报
开通vip

DDS原理及AD应用设计LLLDDS原理及AD9954应用设计梁立林2009.7.25主讲内容DDS原理AD9954简介AD9954的模块程序AD9954的PCB设计DDS简介全称DirectDigitalSynthesizer(直接数字合成),直接数字式频率合成技术原理是根据奈奎斯特采样定律,从连续信号的相位出发将一个正弦信号取样、量化、编码,形成一个正弦函数表,存于EPROM中。合成时,通过改变相位累加器的频率控制字来改变相位增量。相位增量不同,一个正弦周期内的采样点数不同。在时钟频率即采样频率不变的情况下,通过相位增量的改变来实现频率的改...

DDS原理及AD应用设计LLL
DDS原理及AD9954应用设计梁立林2009.7.25主讲内容DDS原理AD9954简介AD9954的模块程序AD9954的PCB设计DDS简介全称DirectDigitalSynthesizer(直接数字合成),直接数字式频率合成技术原理是根据奈奎斯特采样定律,从连续信号的相位出发将一个正弦信号取样、量化、编码,形成一个正弦函数 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf ,存于EPROM中。合成时,通过改变相位累加器的频率控制字来改变相位增量。相位增量不同,一个正弦周期内的采样点数不同。在时钟频率即采样频率不变的情况下,通过相位增量的改变来实现频率的改变。4.DDS信号源的优点频率分辨率高:频率切换快:可达到纳秒级。输出相对带宽较宽:0~40%Fclk。频率变化时相位连续。输出信号灵活:可产生任意波形数据,并可产生FSK、PSK、ASK、MSK等信号。5.DDS信号源的缺点杂散抑制较差。来源有三个:相位累加器相位舍位造成的杂散、幅度量化误差(由存储器有限字长引起)造成的杂散和DAC非理想特性造成的杂散。输出带宽较窄:无法应用到微波波段。解决 办法 鲁班奖评选办法下载鲁班奖评选办法下载鲁班奖评选办法下载企业年金办法下载企业年金办法下载 :DDS+PLL的方法。1.DDS信号源的原理一个纯净的单频信号可表示为:只要它的幅度U和初始相位θ0不变,它的频谱就是位于f0的一条谱线。为了分析简化起见,可令U=1,θ0=0。则上式变为:如果对上式的信号进行采样,采样周期为Tc(即采样频率为fc),则可得到离散的波形序列:相应的离散相位序列为:式中:是连续两次采样之间的相位增量。根据采样定理:因此,只要满足该条件,即可从采样的信号中恢复出原信号的频率。由前式可知,决定信号频率的是相位的增量Δθ因此,只要控制这个相位增量,就可以控制合成信号的频率。现将整个周期的相位2π分成M份,每一份为:若每次的相位增量选择为δ的K倍,即可得到信号的频率:相应的模拟信号为:式中K和M都是正整数,根据采样定理的要求,K的最大值应小于M的1/2。综上所述,在采样频率一定的情况下,可以通过控制两次采样之间的相位增量(不得大于π)来控制所得离散序列的频率,经保持、滤波之后可唯一的恢复出此频率的模拟信号。由上面的分析,DDS可由下列两次变换来实现:(1)从不变量K以时钟fc产生量化的相位序列。这个过程一般由一个以fc作时钟的N位相位累加器来实现。(2)从离散量化的相位序列产生对应的正弦信号的离散幅度序列。这个过程可对波形ROM进行寻址来完成。把量化的数字波形经模数((D/A)转换,再通过低通滤波器LPF就得到频率为f0的余弦信号。不变量K被称为相位增量,也叫频率控制字。DDS信号源的硬件实现1.波形查找表中的数据是什么?2.如何实现频率可调?3.如何实现频率增大时仍能遍历查找表中的原有数据?4.如何实现相位改变?1.波形查找表是一个ROM或RAM,存放的是一个周期的采样数据,存储器的宽度是波形的量化级数,深度是采样点的数目.2.地址产生器,地址变化快慢,决定了输出一个波形所需的时间,从而实现频率可调.3.N-M≥D,累加器的输出是以1为步进的.4.相位字决定初相.DDS参数计算与选择1.输出频率的计算式中,N为相位累加器位宽,FW为输入频率字。计算举例:系统时钟为:50MHz;相位累加器位宽为:16位;输入频率控制字为:2048;2.参数选择系统时钟频率Fclk;相位累加器位宽N;频率字位宽M相位字位宽D。技巧:使Fclk是的整数倍的时候,可使输出频率是频率字的倍数。举例:Fclk:166.67MHzN:24bitM:20bitD:10bitROM数据位宽:8bitROM地址位宽:10bit转换器DAD/A转换器的作用是把己经合成的正弦波的数字量转换成模拟量。正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t)。低通滤波器对D/A输出的阶梯波S(t)进行频谱分析可知S(t)中除主频f0外,还存在分布在fc,2fc…两边±fo处的非谐波分量,幅值包络为辛格函数,因此为了取出主频f0,必须在D/A转换器的输出端接入截止频率为fC/2的低通滤波器。3.DDS信号源的主要指标输出频率范围:信号发生器关键的指标之一就是输出信号的频率范围。假设DDS的工作时钟频率是fclk,由于DDS是一个采样系统,所以由奈奎斯特定理可知,理论上DDS输出信号频率范围为0-50%fcLK。考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制,DDS实际输出频率范围可达0-40%fc。信号发生器的另一个关键指标是DDS的无杂散动态比(SFDR)性能要好。相位截断误差带来的杂散分布和杂散大小情况与频率控制字、频率控制字字长、相位截断长度有关。频率分辨率:频率分辨率定义为DDS信号源的最小频率变化量。由前述原理可知,当频率控制字为1时,输出信号频率有最小的变化量:若时钟频率fclk不变,DDS的频率分辨率就由相位累加器的位数N决定。因为N一般取得很大,如32位、48位,使得分辨率高,达到微赫兹级。频率稳定度:DDS的频率稳定度很高,可达到1×10-7量级。输出幅度:输出幅度可在0.5-20V之间可调。输出频率的类型:现在常用的芯片可输出正弦波、方波、三角波等波形。6.DDS的调制机理频率调制:DDS的开关速度非常快,而且输出相位是连续的。因此,在累加器的输入端增加一个加法器,就可以实现频移键控(FSK)。若在累加器前面加一个累加器,则后一个累加器的相位输出呈现平方函数特性,即可实现线性调频功能,如果在累加器前面加一个ROM(或RAM),则可由软件来控制完成非线性调频功能。相位调制:累加器的输出信号是代表相位值的数字信号,因此在累加器的后面加一个加法器就可以很容易地实现相移或相位调制。其相位分辨率由加法器的位数决定。例如:14位加法器可提供0.02197度的相位分辨率。幅度调制:波形存储器ROM的输出为离散的正弦函数的幅度值,若在ROM和D/A转换器之间加入一个数字乘法器,那么就可以方便地实现幅度调制,调幅的精度则由DAC的精度决定。AD9954介绍特性:400MPS内部时钟速度集成14位DAC可编程相位/幅度32bit频率字串行I/0控制超高速模拟比较器自动线性和非线性扫频能力1.8V电源供应集成1024字×32bitRAM可4~20倍倍频;支持大多数数字输入中的5V输入电平;可实现多片同步。应用领域:快速本振合成可编程时钟发生器调频Ad9954,DATASHEET讲解Ad9954模块程序介绍AD9954PCB板设计经验1.参看ADI原理图.2.模拟数字电源分割3.差分转单端信号输出
本文档为【DDS原理及AD应用设计LLL】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
正方体
暂无简介~
格式:ppt
大小:198KB
软件:PowerPoint
页数:33
分类:
上传时间:2022-05-11
浏览量:1