首页 光纤通信实验

光纤通信实验

举报
开通vip

光纤通信实验光纤通信原理实验讲义目录ZY12OFC23BH1光纤通信原理实验系统简介...................................-1-OM光纤实验箱使用注意事项...................................................................-4-实验一半导体激光器P-I特性测试实验.....................................-5-实验二光发射机数字调制实验..............

光纤通信实验
光纤通信原理实验讲义目录ZY12OFC23BH1光纤通信原理实验系统简介...................................-1-OM光纤实验箱使用注意事项...................................................................-4-实验一半导体激光器P-I特性测试实验.....................................-5-实验二光发射机数字调制实验.....................................................-9-实验三光接收机原理实验...........................................................-13-实验四数字光纤通信系统线路码型编译码实验.......................-16-实验五电话光纤传输系统实验...................................................-25-实验六图像光纤传输系统 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 实验...........................................-28-实验七波分复用技术实验...........................................................-30-实验八语音+图像+数据光纤传输系统设计实验.......................-33-附录I无源器件简介.......................................................................-36-I光纤通信原理实验讲义ZY12OFCom23BH1光纤通信原理实验系统简介实验箱是为配合《光纤通信》课程的理论教学,结合目前光纤通信工程技术最新进展,提高大专院校学生实际操作和动手能力。一、实验系统特点光纤H1型实验箱整个系统分电接口终端、光接口终端和光传输三大部分,各自独立又相互关联,所有模块在单独进行实验同时又可系统集联,实验灵活丰富,可设计、可比较、可操作、可观测性强。整个系统采用256K和2.048M(E1)传输速率,既有利于实验观测,又可以模拟实际光纤传输时的各种性能。采用大规模的可编程逻辑器件,使得实验系统具有开放性配备制作了二次开发板,并预留大量的I/O扩展口,可在开发板上独立完成二次开发设计。所有实验大多采用开关控制。二、主机箱及系统模块简介主机箱包含了光纤通信系统设备中的各个主要组成部分,具体由以下十三个模块组成。其印刷电路板布局图如图1所示,每个模块均留出了关键的测试孔和测试钩,利于连线做系统实验以及测试用。850nm光发送850nm光接收众友光纤通信原理ZY12OFcom23BH11310nm光发送1310nm光接收光终端1550nm光发送1550nm光接收数字信号源数字终端模拟信号源电终端模拟信号源PCM编译码PCM编译码串口通信电话接口电话信令控制电话接口串口通信图1:ZY12OFCom23BH1型光纤通信原理实验箱布局图1、电源模块:提供实验箱各模块电源。-1-光纤通信原理实验讲义2、串口通信模块:主要用来实现实验箱与计算机之间的数据通信。3、PCM编译码模块:实现PCM编译码的功能。4、电话信令控制模块:实现电话之间的热线接续和控制功能。5、模拟信号源模块:用于产生系统实验所需的模拟正弦波、方波信号。6、数字信号源模块:产生系统实验所需的数字信号及24位伪随机码,速率为64KB/s,其中各种数字信号和伪随机码的制可以通过拨码开关来控制。7、数字终端模块:实现终端数字信号值的显示和读出,数据的值通过二极管发光来显示。8、电终端模块:实现帧同步码的产生,M序列为随机信号的产生,不同速率的信号的复用和解复用,HDB3码的编译码。9、光终端模块:实现光纤线路码的码型的编译码,比如5B6B、5B1P、5B1C、CMI、扰码和解扰码。10、1310nm光发送模块:实现模拟信号、数字信号在1310nm光发送机中的光传输及自动光功率控制功能(采用电路来实现)。11、1550nm光发送模块:实现模拟信号、数字信号在1550nm光发送机中的光传输及自动光功率控制功能(采用专用芯片来实现)。12、1310nm光接收模块:实现1310nm光纤传输信号的接收,实现接收信号光电转换,滤波及放大,将其恢复为 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的电脉冲数据信号13、1550nm光接收模块:实现1550nm光纤传输信号的接收,实现接收信号光电转换,滤波及放大,将其恢复为标准的电脉冲数据信号实验系统基本组成方框图如图2所示:图2:光纤传输实验系统方框图实验系统主要由光发模块、光收模块、光无源器件和辅助通信模块等组成。光发端机完成将电信号直接调制至光载波上去,采用强度调制(IM);光接收机完成光信号的解调,采用直接检测(DD),属于非相干解调。光载波由半导体光源产生,由半导体光检测器将光信号转实验设备的具体性能指标如下:1、电源模块输出:+5V、+12V、-5V、-12V、-48V2、方波信号输出1)时钟信号:32.768MHz,12.000MHz2)方波信号:2.048MHz,256KHz,64KHz,8KHz(3)数字基带信号:码速率分别为2.048MHz,256KHz,64KHz4)频率输出误差:≤±1%5)占空比:50%。3、正弦波信号输出1)正弦波信号:2KHz,1KHz,444Hz,25Hz,2)频率输出误差:≤±1%3)幅度0V~5V连续可调4、三角波信号输出-2-光纤通信原理实验讲义1)三角波信号:2KHz,1KHz2)频率输出误差:≤±1%3)幅度:0~5V连续可调5、数字、模拟电话1)话音质量要求:话音质量要求清晰,只允许有少量的脉冲噪声。2)其它指标无要求。-3-光纤通信原理实验讲义光纤实验箱使用注意事项光学器件属于昂贵易损器件,所以在实验操作过程中应加倍小心,防止光学器件的损坏,为了保证实验顺利地进行,请注意以下事项:1、请仔细阅读实验指导书操作步骤后开机实验,实验各测试点、跳线及开关说明请参考附录III,正确连接导线,以免造成光学器件和芯片的损坏。2、实验箱使用过程中应有防静电措施,以防静电损坏光学器件。3、光学器件属于昂贵器件,在安装和拆卸过程中请注意轻拿轻放,遇到问题须及时向老师报告。4、实验时不可将光纤输出端对准自己或别人的眼睛,以免损伤眼睛。5、实验箱使用完毕后,请立即将防尘帽盖住光纤输入、输出端口,用光纤端面防尘盖盖住光纤跳线端面,防止灰尘进入光纤端面而影响光信号的传输。6、若不小心把光纤输出端的接口弄脏,需用酒精棉球进行清洗。7、光纤跳线接头应妥善保管,防止磕碰,使用后及时戴上防尘帽。8、不要用力拉扯光纤,光纤弯曲半径一般不小于30mm,否则可能导致光纤折断。9、进行光纤传输实验时,半导体激光器驱动电流不要超过40mA,发光二极管驱动电流不要超过60mA。10、不要用手触摸激光器和探测器的焊点,以免烧坏激光器与探测器。-4-光纤通信原理实验讲义实验一半导体激光器P-I特性测试实验一、实验目的1、学习半导体激光器发光原理和光纤通信中激光光源工作原理2、了解半导体激光器平均输出光功率与注入驱动电流的关系3、掌握半导体激光器P(平均发送光功率)-I(注入电流)曲线的测试方法二、实验内容1、测量半导体激光器输出功率和注入电流,并画出P-I关系曲线2、根据P-I特性曲线,找出半导体激光器阈值电流,计算半导体激光器斜率效率三、实验仪器1、ZY12OFCom23BH1型光纤通信原理实验箱1台2、FC接口光功率计1台3、FC-FC单模光跳线1根4、万用表1台5、连接导线20根四、实验原理光源是把电信号变成光信号的器件,在光纤通信中占有重要的地位。性能好、寿命长、使用方便的光源是保证光纤通信可靠工作的关键。光纤通信对光源的基本要求有如下几个方面:首先,光源发光的峰值波长应在光纤的低损耗窗口之内,要求 材料 关于××同志的政审材料调查表环保先进个人材料国家普通话测试材料农民专业合作社注销四查四问剖析材料 色散较小。其次,光源输出功率必须足够大,入纤功率一般应在10微瓦到数毫瓦之间。第三,光源应具有高度可靠性,工作寿命至少在10万小时以上才能满足光纤通信工程的需要。第四,光源的输出光谱不能太宽以利于传输高速脉冲。第五,光源应便于调制,调制速率应能适应系统的要求。第六,电—光转换效率不应太低,否则会导致器件严重发热和缩短寿命。第七,光源应该省电,光源的体积、重量不应太大。作为光源,可以采用半导体激光二极管(LD,又称半导体激光器)、半导体发光二极管(LED)、固体激光器和气体激光器等。但是对于光纤通信工程来说,除了少数测试设备与工程仪表之外,几乎无例外地采用半导体激光器和半导体发光二极管。本实验简要地介绍半导体激光器,若需详细了解发光原理,请参看各教材。半导体激光二极管(LD)或简称半导体激光器,它通过受激辐射发光,是一种阈值器件。处于高能级E2的电子在光场的感应下发射一个和感应光子一模一样的光子,而跃迁到低能级E1,这个过程称为光的受激辐射,所谓一模一样,是指发射光子和感应光子不仅频率相同,而且相位、偏振方向和传播方向都相同,它和感应光子是相干的。由于受激辐射与自发辐射的本质不同,导致了半导体激光器不仅能产生高功率(≥10mW)辐射,而且输出光发散角窄(垂直发散角为30~50°,水平发散角为0~30°),与单模光纤的耦合效率高(约30%~50%),辐射光谱线窄(λ=0.1~1.0nm),适用于高比特工作,载流子复合寿命短,能进行高速信号(>20GHz)直接调制,非常适合于作高速长距离光纤通信系统的光源。半导体激光器的特性,主要包括阈值电流Ith、输出功率P0、微分转换效率η、峰值波长λp、光束发散角、脉冲响应时间tr、tf等。除上述特性参数之外,有时也把半导体激光器的工作电压、工作温度等列入特性参数。阈值电流是非常重要的特性参数。图1-1上A段与B段的交点表示开始发射激光,它对-5-光纤通信原理实验讲义应的电流就是阈值电流Ith。半导体激光器可以看作为一种光学振荡器,要形成光的振荡,就必须要有光放大机制,也即激活介质处于粒子数反转分布,而且产生的增益足以抵消所有的损耗。将开始出现净增益的条件称为阈值条件。一般用注入电流值来标定阈值条件,也即阈值电流Ith。P-I特性是半导体激光器的最重要的特性。当注入电流增加时,输出光功率也随之增加,在达到Ith之前半导体激光器输出荧光,到达Ith之后输出激光,输出光子数的增量与注入电子数的增量之比见式8-1。d(P)(I)eP(8-1)IhvehhvI-34焦耳秒),v为辐射跃/就是图1-1激射时的斜率,是普朗克常数(6.625*10P迁情况下,释放出的光子的频率。图1-1LD半导体激光器P-I曲线示意图P-I特性是选择半导体激光器的重要依据。在选择时,应选阈值电流Ith尽可能小,Ith对应P值小,而且没有扭折点的半导体激光器。这样的激光器工作电流小,工作稳定性高,消光比(测试方法见实验四)大,而且不易产生光信号失真。并且要求P-I曲线的斜率适当。斜率太小,则要求驱动信号太大,给驱动电路带来麻烦;斜率太大,则会出现光反射噪声及使自动光功率控制环路调整困难。在实验中所用到半导体激光器输出波长为1310nm,带尾纤及FC型接口。其典型参数如下表1-1:ParameterSymbolMinTypMax.Unit参数符号最小值典型值最大值单位CentralWavelength128013101340nm中心波长SpectralWidthRMS25nm谱线宽度ThresholdCurrentIth815mA阈值电流OpticaloutputpowerP00.20.61.2mW输出功率ForwardVoltageVf1.21.6V正向电压RiseTime/FallTimetr/tf0.30.5ns上升/下降时间⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯表1-1本实验半导体激光器的部分参数参考表-6-光纤通信原理实验讲义本实验所涉及的实验框图如图1-2,R110(1Ω)与激光器串联。图1-2激光器工作框图电路中的驱动电流在数值上等于R110两端电压与电阻值之比。为了测试更加精确,实验中先用万用表测出R110的精确值(将BM1、BM2都拨到中档,用万用表的欧姆档测T103、T104之间的电阻),计算得出半导体激光器的驱动电流,然后用光功率计测得一定驱动电流下半导体激光器发出激光的功率,从而完成P-I特性的测试。并可根据P-I特性得出半导体激光器的斜率效率。五、实验步骤1、用导线连接电终端模块T68(M)和T94(13_DIN)。2、将开关BM1拨为1310nm,将开关K43拨为“数字”,将电位器W44逆时针旋转到最小。3、旋开光发端机光纤输出端口(1310nmT)防尘帽,用FC-FC光纤跳线将半导体激光器与光功率计输入端连接起来,并将光功率计测量波长调整到1310nm档。4、用万用表测量T97(TV+)和T98(TV-)之间的电阻值(电阻焊接在PCB板的反面),找出所测电压与半导体激光器驱动电流之间的关系(V=IR110)。5、将电位器W46(阈值电流调节)逆时针旋转到底。6、打开交流电源,此时指示灯D4、D5、D6、D7、D8亮7、用万用表测量T97(TV+)和T98(TV-)两端电压(红表笔插T97,黑表笔插T98)。8、慢慢调节电位器W44(数字驱动调节),使所测得的电压为下表中数值,依次测量对应的光功率值,并将测得的数据填入下表1-2,精确到0.1uW。9、做完实验后先关闭交流电开关。10、拆下光跳线及光功率计,用防尘帽盖住实验箱半导体激光器光纤输出端口,将实验箱还原。U(mV)12345678I(mA)P(uW)U(mV)910121416182022I(mA)P(uW)U(mV)2426283032343638I(mA)P(uW)LD的P-I特性测试表六、实验测试点说明T97(V+)、T98(V-)激光器的数字驱动电流测试端-7-光纤通信原理实验讲义TP108(LT)激光器的输出信号测试端七、实验报告1、根据测试结果,算出半导体激光器驱动电流,画出相应的光功率与注入电流的关系曲线。2、根据所画的P-I特性曲线,找出半导体激光器阈值电流Ith的大小。3、根据P-I特性曲线,求出半导体激光器的斜率效率。4、实验结果及误差分析正确。八、思考题1、试说明半导体激光器发光工作原理。2、环境温度的改变对半导体激光器P-I特性有何影响?3、分析以半导体激光器为光源的光纤通信系统中,半导体激光器P-I特性对系统传输性能的影响。-8-光纤通信原理实验讲义实验二光发射机数字调制实验一、实验目的1、掌握光发送机的组成原理2、了解半导体激光器和发光二极管的P-I特性曲线及其调制信号的波形3、掌握几种常见的数字调制电路的原理二、实验内容1、LD数字驱动电路的工作原理三、实验仪器1、ZY12OFCom23H1型光纤通信原理实验箱1台2、20M双踪示波器1台3、万用表1台4、连接导线20根四、实验原理1、光发送机组成和数字信号的光调制基本原理在数字光纤通信系统中,光源发出的光可以看作是光频载波,通过驱动电路的调制,使其承载荷信息。数字信号的光调制一般都采用直接光强调制方式进行调制。这种将电信号通过调制使其变为光信号的过程称为数字信号的光调制。数字信号的光调制的过程是在光发送机中完成的,光发送机是数字光纤通信系统的三大组成部分(光发送机、光纤光缆和光接收机)之一。其主要功能是将电脉冲信号变换成光脉冲信号,并以数字光纤系统传输特性所能要求的光脉冲信号波形从光源器件组件的尾纤中发射出去。光发送机的原理框图如下:温度控制信号整形及码型变换光源驱动发射光源时钟功率控制与保护光检测放大比较放大图2-1光发送机原理组成框图光发送器件依靠光源器件——激光器(LD)或发光二极管(LED)将电脉冲信号转换成光脉冲信号。光源驱动电路是光发送机的主干电路,它将电脉冲信号通过电流强度的调制方式调制半导体激光器或发光二极管发射出的光脉冲信号。数字信号进行光调制时主要通过光源驱动电路来实现,常用的光源驱动按光源的类型来分可分为发光二极管LED驱动电路和LD半导体激光器驱动电路。调制时的光信号输出与调制信号的电流和光源的P-I特性曲线有着密切的关系。具体的关系会在下面讲到。2、LD驱动电路-9-光纤通信原理实验讲义在分析LD驱动电路时,一般必须根据LD器件的应用特性并结合数字光纤通信系统的传输性能的要求综合考虑对光发送机的性能。这些性能要求如下:1)输出光功率必须保持恒定2)光发送机发送的光脉冲的消光比应尽可能大3)光脉冲的响应时间(tr,tf)及开通延迟时间(td)必须远小于每个码元的时隙,以便于使光脉冲成为传输数字信号的准确重现。4)输出光脉冲无张弛振荡和自脉动5)LD的辐射波长必须保持恒定根据以上要求,LD驱动器电路主要是经过处理的数字电脉冲信号来调制LD器件的发光强度,发射出具有一定光功率的脉冲信号,其次是保证发射出的光脉冲信号有足够快的响应速度。LD的调制波形与驱动电流的关系如图所示:P012t3Ith0IIbImt图2-2LD的P-I特性曲线和调制波形LD是利用在其有源区中受激发射的器件,只有在工作电流超过阈值电流的情况下,才会输出激光,因而是有阈值的器件。由图2-2可见,图中的Ith是LD的阀值电流。可见驱动LD光源器件发光必须是直流偏置电流Ib和信号电流(即调制电流Im)的共同作用。能够满足LD光源驱动的电路也有简单驱动电路,其电路原理如图2-3(a)所示:VCCVCCIb+ImIb+ImLDLDIbIbSiTSiT1T2VBBCWRRC(a)(b)图2-3LD的驱动电路驱动晶体管T工作在开关工作状态,当调制信号加于Si端时,T通过其集电极提供LD-10-光纤通信原理实验讲义的调制电流Im,,再通过另一路的直流偏置电流Ib的调整就可以实现对LD的有效调制。这2种简单的驱动电路可以得到较大的驱动电流。但由于T工作在开关状态,造成电源负载不稳34定,因而对电源要求较高,使其应用受到限制。相比较而言,(b)图中的射极耦合电流开关电路的开关转换时间较短,响应速度快,结构简单,调整比较容易,因此在数字光纤通信系统中基本上都是采用这种电路。其中的Ib是提供LD直流偏置电流的通路。在中等速率及其以下的数字光纤通信系统中,其LD驱动电路几乎都采用以下图中的电路形式,这是一种实用化的电路形式。VCCIb+ImRLDIbRT2SiT1U?RNOTRRRe图2-4实用化的LD的驱动电路这种驱动电路为双端信号反向输入,由于T1、T2的基极所加的信号大小相等、相位相反,这样可以进一步提高电路的开关速度。这种驱动电路温度稳定性、抗干扰的性能都比较好。同时由于射极耦合电阻Re的负反馈作用,保证了LD调制电流Im的稳定性。鉴于以上优点,本实验箱采用也采用这种实用化的电路作为1310nm光发送机的驱动电路。五、实验步骤1、用导线连接电终端模块T68(M)和T94(13_DIN)。2、将开关BM1拨为1310nm,将开关K43拨为“数字”,将电位器W44逆时针旋转到最小。3、用万用表测量T97(TV+)和T98(TV-)之间的电阻值(电阻焊接在PCB板的反面),找出所测电压与半导体激光器驱动电流之间的关系(V=IR110)。4、打开交流电源,此时指示灯D4、D5、D6、D7、D8亮5、将电位器W46(阈值电流调节)逆时针旋转到底。6、慢慢调节电位器W44(数字驱动调节),用万用表测量T97(TV+)和T98(TV-)两端电压(红表笔插T97,黑表笔插T98),使之为25mV。观测此过程中无光告警二极管D11的发光情况。7、用示波器测量测试钩TP108(LT)的波形,并 记录 混凝土 养护记录下载土方回填监理旁站记录免费下载集备记录下载集备记录下载集备记录下载 下来,同时用示波器的另一通道测量输入的数据T94(13_DIN),经两者进行比较,观察其之间的区别和相同点。2348、调节电位器W46(阈值电流调节),用万用表测量T97(TV+)和T98(TV-)两端电压(红表笔插T97,黑表笔插T98),观察此时的万用表的读数值的变化。10、改变电位器W44和W46的数值,此时重新观察步骤六中两点的电压变化。11、根据以上实验步骤设计850nm光端机的数字驱动原理实验。12、实验完成后,关闭交流电源,拆除各个连线,将所有的开关拨向下,将实验箱还原。六、实验测试点说明T97(V+)、T98(V-)激光器的数字驱动电流测试端-11-光纤通信原理实验讲义TP108(LT)激光器的输出信号测试端七、实验报告1、根据实验的结果画出激光器条之后的波形,并和输入信号的波形进行比较2、分析图2-4种激光器调制电路的原理八、思考题1、在LD器件的驱动电路中,为什么需要直流偏置电流Ib的共同作用?-12-光纤通信原理实验讲义实验三光接收机原理实验一、实验目的1、了解光接收机的组成原理及其各部分的功能2、掌握光接收机的电路原理3、了解判决电路在光接收机中的作用二、实验内容1、测量光收端机接收信号的波形及相关测试点的参数2、学习光接收机中判决电路的原理三、实验仪器1、ZY12OFCom23BH1型光纤通信原理实验箱1台2、示波器1台3、FC-FC单模光跳线1根4、万用表1台5、连接导线20根四、实验原理1、光接收机基本原理数字光接收机在光纤通信系统中的作用是将光纤光缆传输后衰减变形的微弱光信号通过光-电转换成为光信号,并给与足够的方大、均衡与定时再生还原成为标准的数字脉冲信号。数字光接收机的原理框图如图所示:前置放大器主放大器均衡判决光检测器偏压AGC时钟提取图3-1数字光机收机原理框图其中光检测器是数字光机收机的核心元件,它将光脉冲转换成为电脉冲信号。数字光纤通信中常用的光检测器主要由PIN光电二极管和雪崩光电二极管(APD)。PIN光电二极管没有倍增,使用简单,工作偏压低,而且可以固定不变。APD具有很高的内部倍增因子,它与合理设计的电子放大器结合,可以使APD工作在最佳倍增工作状态,这样可以使其获得比PIN光电检测器高10dB以内的接收灵敏度。APD需要有较高的工作偏压,因此利用AGC电路对APD的工作电压进行控制。前置放大器是数字光接收机中的关键部件,它与光检测器的合理匹配,要求能够得到从理论上可以得到的尽可能大的输出信噪比信号,并将这样的信号放大输出到有一定数量级的脉冲信号电压,一般为毫伏数量级。主放大器是一个高增益的宽带放大器,它放大来自前置放大器的输出的小信号电压。一般来说,通过主放大器的信号基本上是无失真的前置放大器的输出信号。为了判决电平的需要,主放大器的输出电压为1~3V(峰-峰值)。对于不同的输入光功率信号,其增益可以通过AGC调整得到不同大小的数值,从而保证输出电平不变。-13-光纤通信原理实验讲义12345均衡电路一方面是为了得到有利于判决的信号输出波形,即信号码波形引起的码间干扰小,按均衡的要求,具体的输出为升余弦频谱波形。另一方面是通过均衡可以合理的压缩主放大器过宽的带宽,减少数字接收放大器的噪声,提高其输出信噪比。这样的波形送到判决电路可以得到最佳判决,从而得到理想的接收灵敏度。2、光接收机电路原理图此电路可以作为发光二极管和1310nm半导体激光器的光接收部分电路图,其中光电检测器采用PIN光电二极管。MAX435芯片及其外围电路实现主放大器的作用,用于放大光电检测器检测到的信号,测试钩TP114用于观察接收机接收到的数据信号。发光二极管D9用于显示光纤线路中传输光的状态,当接收端接收到信号时,发光二极管亮,当没有信号时,发光二极管不亮。VCCDVCCDVCCDTP1146R455U114PD851K30114T104V+V+BM2E67213C203IN+LOUT+22131211Z+V+82411W45R213382C2015NCLSET10E68OUT7636Z-V-9R3636IN-LOUT-47R36278R6447V-V-5C19C2025R454J15R3651-5VDR364-5VDPD-2GND3PD+4VCC图3-2数字光机收机电路原理图五、实验步骤1、用导线连接电终端模块T68(M)和T94(13_DIN)。2、将开关BM1拨为1310nm,将开关K43拨为“数字”,将开关BM2拨为1310nm,将开关K30拨为“通信”,将电位器W44逆时针旋转到最小。3、旋开光发端机光纤输出端口(1310nmT)防尘帽,用FC-FC光纤跳线将半导体激光器与光机收机(1310nmR)连接起来。4、用万用表测量T97(TV+)和T98(TV-)之间的电阻值(电阻焊接在PCB板的反面),找出所测电压与半导体激光器驱动电流之间的关系(V=IR)。1105、打开交流电源,此时指示灯D4、D5、D6、D7、D8亮。Title6、慢慢调节电位器W44(数字驱动调节),用万用表测量T97(TV+)和T98(TV-)两端电压(红表笔插T97,黑表笔插T98),使之为25mV。SizeNumberB7、用示波器探头测量TP114(13OUT)处的波形,利用另一通道测量输入数据Date:25-Jan-2002File:光纤光纤第二板原理图第T94(13_DIN),12345比较两者波形之间的差异。缓慢的调节电位器W45(幅值调节),观测此时接收信号幅度的变化情况。8、用示波器的两个探头同时测量输入数据T94(13_DIN)和T101(13_OUT)的波形,调节电位器W40(判决电平调节),直到输出和输入数据完全相同为止,观察在此过程中二极管D3的发光变化情况,9、用示波器探头同时测量TP114(13OUT)和T101(13_DOUT)的波形,分别调节电位器W45和W40,观察两者的变化。10、做完实验后先关闭交流电开关,拆下光跳线,用防尘帽盖住实验箱半导体激光器光纤输出端口,将实验箱还原。六、实验测试点说明-14-光纤通信原理实验讲义T97(V+)、T98(V-)激光器的数字驱动电流测试端TP114(13OUT)探测器的接收信号测试端T101(13_OUT)探测器的接收信号经判决后的信号测试端七、实验报告1、简述光接收机的原理及其组成框图2、分析光纤传输后数据的变化,简述判决电路在光接收机中的作用。八、思考题1、为什么在光接收机中需加入判决电路?-15-光纤通信原理实验讲义实验四数字光纤通信系统线路码型编译码实验一、实验目的1、了解线路码型在光纤传输系统中的作用2、掌握常用线路码型的编译码过程以及电路实现原理3、了解扰码和解扰码在光线通信线路中的作用4、掌握扰码解扰码电路的原理二、实验内容1、验证符合光纤传输系统的线路码型2、观察线路码型的编译码过程三、实验仪器1、ZY12OFCom23BH1型光纤通信原理实验箱1台2、20MHz双踪模拟示波器1台3、FC-FC单模光跳线1根4、连接导线20根四、实验原理线路码型变换电路主要是适应数字光纤通信传输的需要而设置的,因此,数字光纤通信传输过程的前后必须有线路码型变换与反变换电路。线路码型是指信道码的码型,它是将二进制的数字串变换为适合于特定传输媒介的形式。因此,对于不同的传输媒介,有不同类型的线路码型。对于光纤数字传输系统,不仅要考虑其传输媒介光纤的特性,还需考虑光电转换器件即光源器件和光检测器件的特性,例如光纤线路的带宽(色散)特性影响着对线路码型速率变化的选择,光源器件的非线性影响着对线路码型是单极性还是多极性的选择,一般说来,对光纤传输线路码型的选择主要考虑如下要求:1)比特序列独立性2)能提供足够的定时信息3)减小功率谱密度中的高低频分量4)误码倍增小5)便于实现不中断业务的误码监测6)易于在传送主信息(业务信息)的同时,传送监控、公务、数据等维护管理信息,以及区间通信等辅助信号。7)易于实现1、5B6B码的编码规则及原理字母型平衡码mBnB码是光纤通信系统的最常用的一种线路码型。所谓字母型码,是将输入的m比特(Bit)一组码作为一个码字,按码表变换表,在同样长的时间间隔内,变换成n比特一组的输出码字,因此又成为字变换码。其中m和n都为整数,且n>m,一般取n=m+1。mBnB码的传输性能较好,直流电平浮动小,同符号连续数小,“0”,“1”分布均匀,定时信息丰富。误码监测性能好,可以利用正负模式交替及不出现禁字的特点,在码型反变换的同时方便的监测误码。在中继站也可以用游动数字和法监测误码。而在mBnB码中,5B6B码被认为是在编码复杂性和比特冗余度之间最合理的折衷,因此-16-光纤通信原理实验讲义应用较为普遍,下面以邮电部推荐使用的一种码表为例介绍5B6B码的特点。见下表:一般5B6B码的最大同符号连续数为6,而表16-1种巧妙的利用了正、负不均码与均等码的对应关系,使码表中得出6B码流中最大的同符号连续数为5。同时,使“0”、“1”的转换概率达0.5915,提高了定时信息的含量。同时适当的选择禁字解码表可使平均误码扩展系数减小到1.281。mBnB码的码速提高率H为Hnm100%(4-1)m故5B6B码的码速提高率为H=6-5/5=20%。其误码监测法可采用码结构违反监测法。输入码字输出码字(6B)(5B)模式1模式20000001100101010111000011100111000012000101101101000103000111000111000114001001101011001005001011001011001016001101001101001107001111001110001118010001100111010009010011010011010011001010101010101010110101100101111001012011001100101100101301101101101000101140111010111000011015011110011100011101610000110001110001171000111100101000118100101110100100101910011010011010011201010011010011010021101010101010101012210110010110010110231011101011101010024110001110000110002511001011001011001261101001101001101027110110110110010102811100011100011100291110101110100100130111100111100011003111111001101001101表4-15B6B码编码表5B6B编码器的主要功能是将线路中的串行码进行分组,每5位为一组,然后将其按码表变换的规则变换成6位的串行码并发送到线路中。在这里编码的方法采用码表存储法。码表存储法的方法是将所选择的线路码型的变换码表存放在一个存储器中,把输入的码字作为地址码,读出存储器的内容,即为线路码。具体实现时一般采用只读存储器PROM具.-17-光纤通信原理实验讲义体的实现方法框图如下:变换后时钟输出码流并/串变换读字脉冲D1D2Dn-1DnMode模式码表存储器控制A1A2Am-1AmAm+1输入码流串/并转换输入时钟图4-25B6B码码表存储法原理框图在实际的编码过程中,在码表变换过程中,都人为地加入了控制字位。具体的加入规则如下:在5B码的最高位前加入模式控制字位,其中“0”时表示按模式1进行查表变换,“1”表示按模式2进行查表变换;在输出的6B码字最高位之前加入辅助控制位,若该6B码字中“1”和“0”的个数相等,则此为为“0”,若不相等则为“1”。用此辅助控制位来控制模式控制字位,以此来实现两种模式之间的转换。此部分功能主要由CPLD来实现。2、5B6B码的解码原理一般情况下,译码是编码的逆过程,因此译码器与编码器的构成基本相同,但译码时必须考虑如何分组的问题,就是译码时译码器对线路码流的分组必须和编码时的分组完全吻合。即编码和译码分组必须同步。完成译码时分组于编码时分组同步的电路称为组同步电路,某些插入帧定位码的码型,可以利用帧定位码来实现译码时分组的同步。组同步实现的方法通常有以下两种方法:2.1帧定位码同步法插入了帧定位码的线路码型,可以利用帧定位码来实现帧同步。帧定位码的插入有两种方式,一种是集中插入,一种是分散插入。通常帧定位码的码长及码型都有一定的要求,只要搜捕到帧定位码的正确位置,就可以正确地完成译码。帧定位码的搜捕方法通常有两种:逐码移位法和予置判断法。2.2大误码监测法由于码型变换都是遵循一定规则的,在译码时,如果没有实现组同步,则误码监测电路会引进测到大量违犯编码规则的的情况,而判断为大误码。这种非同步情况下造成的误码检出,比同步时真正出现的线路误码要大几个数量级。因此,只要检出大误码,就判定为组失步,采用逐码移位法使其恢复同步。在大误码监测法具体实现时,为了保证同步电路稳定的工作,设当连续两次在大于或等于M组码中找到多于C次违犯(误码)时,则进行同步搜捕。下表列出了常用码型的M、C值。线路码型3B4B5B6B6B8BmB1PmB1CM510315321616C1515866-18-光纤通信原理实验讲义表4-2大误码监测M、C的取值表大误码监测法适用于各种分组码,特别是没有插入帧定位码的光线路码型,基本上都采用这种法实现组同步。大误码监测法组同步电路原理框图如下:复位分组信号线路码流误码监测C计数M计数分组时序2计数时钟扣除已扣时钟线路时钟图4-3大误码监测法组同步原理框图在实际译码时当监测到大误码时,产生一尖脉冲,插入到线路时钟中,重新对接收到的码流进行分组,即采用逐码移位法的方法来实现组同步。直到分组完全正确为止。2.35B6B译码原理5B6B译码原理框图如下:变换后时钟输出码流并/串变换读字脉冲D1D2Dn-1Dn禁字码表存储器误码计数A1A2Am-1Am输入码流串/并转换尖脉冲产生+输入时钟图4-45B6B译码原理框图5B6B在译码时的时钟提取由CPLD外部的锁相环来完成。误码计数的功能在于对禁字出现的次数进行计数,当禁字在一定的连续码组中出现的次数超过 规定 关于下班后关闭电源的规定党章中关于入党时间的规定公务员考核规定下载规定办法文件下载宁波关于闷顶的规定 的值时(具体原理见上面的大误码检测原理),判定电路处于大误码状态,此时由尖脉冲产生电路产生一个窄脉冲,插入线路时钟中,利用逐码移位的原理重新对码组进行分组,以使之达到组同步,从而查表得到相应的并行5B码,在经过并-串转换将其还原成原5B的串行码流,实现5B6B的解码。3、5B1P码的编码规则mB1P码是一种比较典型的非字母型不平衡码,是一种插入型码。mB1P码编码时是将输入的二进制码每m比特分为一组,检查每组中传号(即“1”码)的奇偶性,根据检验的结果,在mB码的后面插入一比特的奇偶校正位(1Parity-1P),故通常称为mB1P码。其奇偶校正位可以是奇性的,也可以是偶性的。一般采用偶性校正比较普遍。这是若mB中的传号为偶数个,则1P为空号(“0”码),若mB中的传号为奇数个,则1P为传号(“1”码)。在此实验中,我们以每5比特二进制码为一组进行编码,故称为5B1P码。且插入位为奇-19-光纤通信原理实验讲义校正位,即传号为偶数个,则1P为空号(“1”码),若5B中的传号为奇数个,则1P为传号(“0”码)。而在实际使用时,mB1P码往往不是单独使用,而是和扰码结合在一起使用,即先将输入码流扰码在进行mB1P变换。5B6B编码后的波形图如下:原二进制码5B1P码图4-55B1P编码波形图4.5B1P码的编码原理5B1P码的编码的原理框图如下:串行输出时钟输出码流并/串变换读字脉冲P并行输入时钟串/并转换奇偶计数器输入码流图4-65B1P编码原理框图编码时,先将输入的串行码流进行串-并转换,使其变为并行的5位码,同时由奇偶计数器对此5位码中的“1”的个数进行计数,若“1”的个数为偶数个,则奇偶计数器输出为“1”;若“1”的个数为奇数个,则奇偶计数器输出为“0”。将此奇偶校正位的值和串并转换后的5位并行的数据并接起来作为并/串转换器的输入数据,此6位数据经并/串转换器转换后,变为6位的串行码流输出,此码即为编码后的5B1P码。这里需要注意的是并行输入脉冲、串行输出脉冲和读字脉冲之间的关系。如下图:并行输入脉冲串行输出脉冲读字脉冲图4-75B1P编码时序图5、5B1P码的译码原理5B1P在译码时的原理和5B6B译码时的原理基本相同。其中时钟的提取也是通过锁相环来完成。不同之处在于如何判断译码时出现误码。而实现组同步的原理也是采用大误码检测法来实现。具体的实现原理框图如下:-20-光纤通信原理实验讲义串行输出时钟输出码流并/串变换读字脉冲PS(5)S(4)S(3)S(2)S(1)S(0)并行输入时钟OR串/并转换XOR误码计数输入码流奇偶计数器窄脉冲产生图4-85B1P解码原理框图解码时,将输入的5B1P码流经串并转换后,其中的高5位S(5)-S(1)作为串并转换器的输入数据,而最低位S(0)即奇偶校正位与奇偶计数器的输出进行异或(奇偶计数器对输入的5B1P码流中的高5位码中“1”的个数进行计数,若“1”的个数为偶数个,则奇偶计数器输出为“1”;若“1”的个数为奇数个,则奇偶计数器输出为“0”),以判断译码时是否出现误码,若出现误码,则进行误码计数(误码计数实际上有两个计数器,一个是码组计数器,一个时误码计数器),当在一定数目M个码组中出现的误码数大于C时(具体的内容参照表16-2)说明译码电路处于组失步状态,由窄脉冲产生电路产生一尖脉冲,插入线路时钟中,利用逐码移位的原理重新对码组进行分组,以使之达到组同步,从而正确地进行解码。需要注意的是,在M个码组中,若误码的个数小于规定值C时,不会产生尖脉冲,同时会对误码计数器进行清零,在下面M个码组中将重新从零开始计数,因为在解码的过程中,正常的误码是存在的,有误码时,电路可能是处在组同步状态的。只有当在一定的码组M中出现的误码数大于一定的值C时,我们才认为电路处于失步状态,从而采用逐码移位的方法重新对码组进行分组,使电路实现组同步。当然,在实际应用时,mB1P码往往不单独使用,而是和扰码结合在一起使用,即将输入码流扰码后再进行5B1P编译码,而从发展的角度来看,这一类插入线路码型更符合网络发展的趋势,因为在SDH系统中,STM-N的帧结构中加入了大量的开销,都视为操作、维护、管理而插入的,因此其实质也是插入扰码。6、扰码和解扰码在数字通信中,当数据信息连”0”码或连“1”码过长将会影响接收端位定时恢复质量,造成抽样判决时刻发生变化,对系统的误码率产生影响。采用有冗余的传输编码可消除数据源一部分信息模式对系统性能的影响,但是要以增加传输符号速率为代价。在实际的应用中,常使用扰码器将数据源变换成近似于白噪声的数据序列(增加定时的同步信息),消除信息模式对系统误码的影响。在系统光发射机的调制器前,需要附加一个扰码器,将原始的二进制码序列加以变换,使其接近于随机序列。相应地,在光接收机的判决器之后,附加一个解扰码器,以恢复原始序列。扰码与解扰可由反馈移位寄存器和对应的前馈移位寄存器实现。扰码器(包括自同步扰码器和外同步扰码器)实际上是一种伪随机序列信号发生器,它产生的信号序列能使“0”与“1”分布均匀,这一特点正是数字光纤通信的传输特性所要求的,因此它可以在数字光纤通信传输线路码型中发挥很好的作用。常用扰码器的实现可采用m序列进行。扰码器是在发端使用移位寄存器产生m序列,然后将信息序列与m序列作模二加,其输出即为加扰的随机序列。解扰码是在接收机端使用相同的扰码序列与收到的被扰信息模二加,将原信息得到恢复。采用扰码技术会有误码扩散的问题,即在信道传输中出现一个误码时,在还原后的序列-21-光纤通信原理实验讲义中会出现多个误码,是信道误码率增加。在误码率不高时,误码扩散数近似扰码器所对应的模二加算式的项数。但是扰码仍有下列缺点:1.不能完全控制长串连“1”与连”0”序列地出现;2.没有引入冗余,不能进行在线误码监测;3、信号频谱中接近于直流的分量较大,不能解决基线漂移;图4-9和图4-10分别是本实验中的扰码电路和解扰码电路。图4-9扰码电路图4-10解扰码电路五、实验步骤1、用导线连接电终端模块T66(C_O)和光终端模块T81(C_I),T65(D_O)和T82(D_I);连接电终端模块T71(C_I)和光终端模块T85(C_O),T69(D_I)和T86(D_O);连接数字信号源模块的T79(D1_O)和T67(D1_I),T78(D2_O)和T64(D2_I),T8(D3_O)和T63D3_I);-22-光纤通信原理实验讲义连接电终端模块和数字终端模块的T70(D1_O)和T88(D1_I),T72(D2_O)和T75D2_I),T73(D3_O)和T74(D3_I)2、将拨码开关K35的值拨为“1100”,K38的值拨为“0001”,K37的值拨为“00100000”。将拨码开关K36、K32和K33的值拨为任意值。3、将开关K7、K29拨向下,将K28拨向上。4、旋开光发端机光纤输出端口(1550nmT)防尘帽,用FC-FC光纤跳线将半导体激光器与光机收机(1550nmR)连接起来。5、打开交流电源,此时指示灯D4、D5、D6、D7、D8亮。6、用示波器探头测量T82(D_I)处的波形,并记录下来。7、用示波器探头测量T92(15_DIN)处的波形,此时的波形为5B6B编码后的波形,将示波器的第一通道设置为触发方式,观测T82(D_I)和T92(15_DIN)两个信号的区别,并验证5B6B编码的原理。8、调整节电位器W34,使得TP111(CLKOUT)测试点的波形稳定(即时钟恢复锁相环锁定),用示波器探头测量TP100(6PP)和TP106(5PP)处的波形,观察5B和6B的时钟之间的关系。9、将拨码开关K38的值拨为“0000”,同时按开关K39,观测数字终端二极管的变化,继续按开关K39,直到数字终端的二极管发光和数字信号源完全一致。10、用示波器测量测试钩TP115(ERROR)的波形,观测译码时误码脉冲。11、测量光终端T82(D_I)和T86(D_O)处的波形,进行对比,观察译码后的数据和输入的数据是否相同。12、将拨码开关K37的值拨为“01100000”,即采用“扰码+5B6B”的编码方式重新做以上的试验,观察相同测试点处波形的不同。13、将拨码开关K37的值拨为“00100011”。将拨码开关K36、K32和K33的值拨为任意值。14、再次用示波器探头测量光终端T82(D_I)处的波形,并记录下来。15、用示波器探头测量T92(15_DIN)和T82(D_I)处的波形,此时的波形为5B1P编码后的波形,将示波器的第一通道设置为触发方式,观测T82(D_I)和T92(15_DIN)两个信号的区别,并验证5B1P编码的原理。16、调整电位器W34,使得TP111测试点的波形稳定(即时钟恢复锁相环锁定)。17、测量T82(D_I)和T85处的波形,进行对比,观察译码后的数据和输入的数据是否相同。18、将拨码开关K37的值拨为“01100011”,重新做12-14步测量,观察相同测试点和终端解码处波形的不同。19、将拨码开关K38的值拨为“0000”,同时按开关K39,观测数字终端二极管的变化,继续按开关K39,直到数字终端的二极管发光和数字信号源完全一致。20、实验完成后,关闭交流电源,拆除各个连线,将所有的开关拨向下,将实验箱还原。六、实验测试点说明T92(15_DIN)1550光发送机的数据信号输入端T89(15_DOUT)1550光接收机的数据信号输出端TP100(6PP)6B信号的编码时钟TP106(5PP)5B信号的编码时钟TP115(ERROR)误码信号测试端口T85(D_O)光终端数据输出端口T85(C_O)光终端时钟输出端口-23-光纤通信原理实验讲义TP111(CLKOUT2)光路时钟恢复后的6B时钟,频率2457KTP103(VCOOUT2)光路时钟恢复后的5B时钟,频率2048K七、实验报告1、简述5B6B编译码电路的原理2、记录各点的波形进行分析,验证5B6B编译码电路原理的正确性八、思考题1、为什么实际的数字光纤通信系统一般不直接采用PCM码型?2、5B6B码作为光纤线路常用的一种线路码型,他有什么优点?3、为什么采用“扰码+5B1P”编码方式时比单独采用“5B1P”编码时解码产生的误码小?-24-光纤通信原理实验讲义实验五电话光纤传输系统实验一、实验目的1、了解电话及语音信号通过光纤传输的全过程2、掌握模拟电话、数字电话光纤传输的工作原理二、实验内容1、电话光纤传输系统实验三、实验仪器1、ZY12OFCom23BH1型光纤通信原理实验箱1台2、20MHz双踪模拟示波器1台3、FC-FC单模光跳线1根4、电话机2部5、万用表1台6、连接导线
本文档为【光纤通信实验】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
is_916680
暂无简介~
格式:doc
大小:1MB
软件:Word
页数:0
分类:
上传时间:2021-09-20
浏览量:1