首页 宽带直流放大器设计

宽带直流放大器设计

举报
开通vip

宽带直流放大器设计宽带直流放大器设计 中北大学2010届毕业设计说明书 1 绪论 1.1概述 放大器能把输入信号的电压或功率放大的装置,由电子管或晶体管、电源变压器和其他电器元件组成。放大器的原理是高频功率放大器用于发射机的末级,作用是将高频已调波信号进行功率放大,以满足发送功率的要求,然后经过天线将其辐射到空间,保证在一定区域内的接收机可以接收到满意的信号电平,并且不干扰相邻信道的通信。高频功率放大器是通信系统中发送装置的重要组件。按其工作频带的宽窄划分为窄带高频功率放大器和宽带高频功率放大器两种,窄带高频功率放大器通常以...

宽带直流放大器设计
宽带直流放大器设计 中北大学2010届毕业设计说明书 1 绪论 1.1概述 放大器能把输入信号的电压或功率放大的装置,由电子管或晶体管、电源变压器和其他电器元件组成。放大器的原理是高频功率放大器用于发射机的末级,作用是将高频已调波信号进行功率放大,以满足发送功率的要求,然后经过天线将其辐射到空间,保证在一定区域内的接收机可以接收到满意的信号电平,并且不干扰相邻信道的通信。高频功率放大器是通信系统中发送装置的重要组件。按其工作频带的宽窄划分为窄带高频功率放大器和宽带高频功率放大器两种,窄带高频功率放大器通常以具有选频滤波作用的选频电路作为输出回路,故又称为调谐功率放大器或谐振功率放大器;宽带高频功率放大器的输出电路则是传输线变压器或其他宽带匹配电路,因此又称为非调谐功率放大器。 高频功率放大器是一种能量转换器件,它将电源供给的直流能量转换成为高频交流输出在“低频电子线路”课程中已知,放大器可以按照电流导通角的不同,将其分为甲、乙、丙三类工作状态。甲类放大器电流的流通角为360?,适用于小信号低功率放大。乙类放大器电流的流通角约等于180?;丙类放大器电流的流通角则小于180?。乙类和丙类都适用于大功率工作丙类工作状态的输出功率和效率是三种工作状态中最高者。高频功率放大器大多工作于丙类。但丙类放大器的电流波形失真太大,因而不能用于低频功率放大,只能用于采用调谐回路作为负载的谐振功率放大。由于调谐回路具有滤波能力,回路电流与电压仍然极近于正弦波形,失真很小。 1.2宽带直流放大器的应用前景 随着微电子技术的发展,人们迫切地要求能够远距离随时随地迅速而准确地传送多媒体信息。于是,无线通信技术得到了迅猛的发展,技术也越来越成熟。而宽带放大器是上述通信系统和其它电子系统必不可少的一部分。由此可知,宽带放大器在通信系统中起到非常重要的作用,于是人们也对它的要求也越来越高。直宽带放大器在科研中具有重要作用,宽带运算放大器广泛应用于A?D转换器、D?A转换器、有源滤波器、波形发生器、视频放大器等电路。例如在通讯、广播、雷达、电视、自动控制等各种装置中。因此宽带直流放大器应用十分广泛,有非常好的市场前景。 宽带直流能够放大直流信号或变化极其缓慢的交流信号,它广泛应用于自动控制仪 第1页 共40页 中北大学2010届毕业设计说明书 表,医疗电子仪器,电子测量仪器等。目前在无线通信、移动电话、卫星通信网、全球定位系统(GPS)、直播卫星接收(DBS)、ITS通信技术及毫米波自动防撞系统等领域有着广阔的应用前景,在光传输系统中,宽带直流放大器也同样占有重要地位。在无线通信、电子战、电磁兼容测试和科学研究等领域,对射频和微波宽带放大器有极大需求,且这些领域对宽带放大器要求各不相同,特别是在通信系统和电子战系统的应用中,对宽带低噪声和功率放大器的性能指标有特殊要求。在设计上传统窄带放大器的端口匹配,一般是按照低噪声或者共扼匹配来设计的,以此获得低噪声放大器或者最大的输出功率。但是,在宽带的条件下,输入?输出阻抗变化是比较大的,此时使用共扼匹配的概念是不合适的。 这些电路要求运算放大器具有较高的频带宽度,电压增值。为此,以可变增益放大器AD603为核心,设计一种可编程宽带运算放大器。 1.3 课 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 研究的主要工作 1.3.1 课题研究内容 本课题基于压控放大器设计,由前级放大模块、增益控制模块、后级功率放大模块、A/D(D/A)模块、显示模块和电源模块组成。采用STC89c52单片机作为微控制器,以可编程增益放大器AD603为放大电路的核心,设计并制作了具有增益预置和程控等功能的宽带直流放大器及所使用的直流电源。由AD603级联组成增益放大器,实现增益-20,60dB范围内可按5dB步进调节或连续可调,且在0,9MHz通频带内增益起伏在1dB以下;互补三极管射级跟随高功率输出在50 负载上最大输出电压有效值Vo?10V,波形无明显失真;功放输出信号经有效值检波后,通过10位A/D转换芯片TLC1549,将模拟电压的有效值转换成数字信号,并送微控制器实现增益预置与显示。 1.3.2 预期目标 (1)电压增益AV?40dB,输入电压有效值Vi?20mV。AV可在0,40dB范围内手动连续调节。 (2)最大输出电压正弦波有效值Vo?2V,输出信号波形无明显失真。 (3)3dB通频带0,5MHz;在0,4MHz通频带内增益起伏?1dB。 (4)放大器的输入电阻?50,负载电阻(50?2)。 (5)设计并制作满足放大器要求所用的直流稳压电源。 (6)最大电压增益AV?60dB,输入电压有效值Vi?10 mV。 第2页 共40页 中北大学2010届毕业设计说明书 (7)在AV,60dB时,输出端噪声电压的峰,峰值Vonpp?0.3V。 (8)3dB通频带0,10MHz;在0,9MHz通频带内增益起伏?1dB。 (9)最大输出电压正弦波有效值Vo?10V,输出信号波形无明显失真。 (10)进一步降低输入电压提高放大器的电压增益。 (11)电压增益AV可预置并显示,预置范围为0,60dB,步距为5dB(也可以连续调节);放大器的带宽可预置并显示(至少5MHz、10MHz两点)。 1.3.3本课题研究的难点 (1)抑制直流零点漂移 实际设计电路时,输出漂移较为明显,由实验测得,单级OPA620产生的零点漂移是负漂移。中放设计中我们抑制漂移的 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 是,输入信号从第一级运放的正向端输入,输出至第二级运放的反向输入端,且由放大倍数相同和选用元件参数尽可能一致,这种方法可使相邻两级的漂移相互抵消,可达到抑制漂移的目 (2)通频带内增益起伏控制及放大电路的稳定性 设计电路电压增益在通频带内波动较明显,通过对各级放大电路进行频率补偿,在电源端增加去耦0.1uF和100uF电容,电容电阻的引线部分要尽可能的短,并且采用屏蔽盒对系统电路板进行屏蔽。实验证明,可有效抑制通频带内增益起伏的变化,同时增加了放大器的稳定性。 第3页 共40页 中北大学2010届毕业设计说明书 2 系统整体设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 2.1 宽带直流放大器的基本原理 该直流宽带放大器的基本工作原理是利用STC89c52单片机作为微控制器。放大电路由前级放大、程控放大和功率放大三部分组成。通过有效值检波电路,将输出电压的有效值经过AD转换电路,把输出模拟电压有效值转换成数字信号,送给微控制器处理并显示。单片机通过键盘预置输出电压,把预置输出值同A/D采集回来的输出电压有效值相比较。经微控制器数据处理后,通过D/A输出的电压值调节程控放大器的放大倍数,使输出值达到预设值。从而形成一个闭环控制系统。 输入信号经前级放大后经一个射随器进入可控增益放大,其放大倍数由单片机通过D/A转换器调整AD603的控制电压Vg并根据公式:增益GAIN=40×Vg+20(dB)来设定。而在AGC模式下,此控制电压Vg是由AGC电路的反馈电压得到,不受单片机控制。经可控增益放大后的信号最后进过功率放大得到需要的输出信号,前级和后级的增益搭配,都是经过精确的测量和计算的。输出电压经有效值检波得到峰值电压并反馈到单片机,经运算和线性补偿得到有效值,同时由单片机推到数码管显示出来。 2.2 主要模块比较与选择 2.2.1 主放大器方案比较与选择 方案一:采用分立元件设计。此方案元器件成本低,但设计复杂度较大,并且由于受到众多寄生元件的影响,调试工程复杂且周期长,频率高时更突出。因此此方案在长时间内难以保证可靠性和指标,也不便于维护。 方案二:采用高速宽带集成运放设计。此方案的优点是电路实现简单,指标和可靠 性容易得到保证,易于电路分析和调试,为可取方案。 经比较,采用方案二,即采用高速宽带集成运放设计主放大器及输入输出电路。根据题目直流放大器的要求。为了很好的解决温漂问题,故选择采用差分放大电路。 2.2.2 增益控制电路方案的比较与选择 方案一:采用高速乘法器型D/A实现。由D/A 转换器的Vref作信号参考,D/A的输出端作输出,用D/A转换器的数字量输入端控制,传输衰减实现增益控制。该方案简单易行,但当信号的频率较高时,系统容易发生自激,因此不选择此方案。 方案二:DAC控制增益。如图2.1,输入信号放大后作为基准电压送给DAC的Vref 第4页 共40页 中北大学2010届毕业设计说明书 脚,相当于一个程控衰减器。再接一级放大,这两级放大可实现要求的放大倍数。输出接到有效值检测电路上,反馈给单片机。单片机根据反馈调节衰减器,实现AGC。还可通过输入模块预置增益值,控制DAC的输出,实现程控增益。但增益动态范围有限,故不采用。 Vref输出 放大DAC放大 单片机峰值检测 图2.1 增益控制部分方案二示意图 方案三:电压控制增益。如图2.2,信号经缓冲器后进入可编程增益放大器PGA--AD603,放大后进入峰值测量部分,得出的峰值采样后送入单片机,再由DAC输出给AD603控制放大倍数,实现自动增益控制。同时可通过输入模块设置增益值,控制DAC的输出,实现程控增益放大。 输入 缓冲器AD603 单片机DAC 基准 电压源 ADC峰值检测 图2.2 增益控制部分方案三示意图 2.2.3 功率放大电路方案的比较与选择 为使在负载为50 电阻上最大输出电压正弦波有效值Vo?10V,且波形无明显失真,需进行功率放大输出。 方案一:采用带宽增益积大的运算放大器制作多级放大电路。以OPA842和OP37为例,利用OPA842带宽增益积大的特点,使输入的小信号充分放大,再用OP37或其他高压运放放大至有效值10V。这种方法采用电位器或者数字电位器连续调节放大倍数,设计简洁,但是要实现数字控制的可控对数增益很不方便。 方案二:互补三极管射级跟随输出。两只三极管轮流供电给负载电流,工作效率高。 第5页 共40页 中北大学2010届毕业设计说明书 输入信号通过耦合至三极管的基极,所以对交、直流信号都可跟随。但是跟随信号范围不宽,在高频时幅度有些许衰减。 方案三:使用电流缓冲器BUF634其单位增益带宽可在30M,180M变化,最大输出电流为250mA为了实现在50 负载电阻上输出信号波形无明显失真,用两片BUF634并联提高驱动能力。但是价格昂贵,制作成本高。 通过分别测试、比较上述三种方案:方案一调整增益不便,方案二的增益达不到题目要求,方案三能够很好的满足要求,最终选择方案三。 2.2.4 后级放大电路的比较与选择 由于AD603的最大输出电压较小,不能满足题目要求,所以前级放大信号需经过后级功率放大达到更高的输出有效值。 方案一:使用集成电路芯片。使用集成电路芯片电路简单、使用方便、性能稳定、有详细的文档说明。可是题目要求输出10V以上有效值,而在电子市场很难买到这样的 芯片,而且很容易发生工作不稳定的情况。 方案二:使用分立元件设计后级放大器。使用分立元件设计困难,调试繁琐,可是却可以经过计算得到最合适的输入输出阻抗、放大倍数等参数,电阻电容可根据需要更换,在此时看来较集成电路灵活。因此,我们决定自行设计后级放大器。 2.2.5 有效值测量电路的比较与选择 方案一:采用真有效值转换器件AD637测量,直接输出被测信号的真有效值。这样可以实现对任意波形的有效值测量。但AD637可测量的有效值最大为7V,不能满足发挥部分输入有效值大于10V的要求。 方案二:采用峰值检波测量。采用峰值检波电路,检出峰值经A/D转换后由单片机转换为有效值。电路简单可靠,但前提是信号是正弦波,否则误差较大。考虑到本题要求测量的是标准正弦波,因此选择本方案。 2.2.6 稳压电源部分的比较与选择 方案一:线性稳压电源。其中包括并联型和串联型两种结构。并联型电路复杂,效率低,仅用于对调整速率和精度要求较高的场合;串联型电路比较简单, 效率稍高,虽然方便可靠,但还是满足不了高效率的要求。 方案二:开关稳压电源。此方案效率高,虽然理论电路复杂,但是如果使用开关电源集成芯片,只需在外围加少量器件,即可达到题目中高效率的要求。所以电源模块选 第6页 共40页 中北大学2010届毕业设计说明书 择方案二中的开关稳压电源。 2.2.7 数据处理和控制核心选择 方案一:采用单片机AT89S52+FPGA来实现信号增益控制、数据处理和人机界面控制等功能。由于本系统不涉及大量的数据存储和复杂处理,FPGA的资源得不到充分利用, 成本较高. 方案二:采用STC89c52单片机实现整个系统的统一控制和数据处理。而单片机STC89c52是一种16位超低功耗微处理器, 具有丰富的片上外设和较强的运算能力, 支持在线编程, 使用十分方便, 性价比高。故采用方案二 2.3 系统框图设计 综上所述,该系统的总体框图设计如图2.3 所示。 输入后级程控放未级功率椭圆滤波器前级放大电路调零放大器5MHz/10MHz大AD603放大器 单刀双掷开关双通道D/A负载 单片机内部控制继电器AD采样切换 键盘连续可调单片机STC89c52电位器LCD 图2.3系统总体框图 本系统采用单片机STC89c52作为数据处理和控制核心。输入信号经过前级放大电路、后级程控放大和末级功率放大,实现了90dB的最大电压增益。后级功率放大器使用高电压输出的宽带运放,提高了输出电压有效值。单片机通过D/A转换器调整AD603的控制电压,通过继电器切换后级程控放大电路通道,实现了放大器增益的预置和控制功能,大大提高了系统的精度和可控性。通过切换两路椭圆滤波器实现了通频带选择。手动调节连续可调电位器,连续改变AD603的控制电压,实现了增益连续调节功能。本放大器的直流偏置电压和直流零点漂移主要由AD603输出端引入,AD603增益不同时,输出的直流偏置电压不同。将本直流放大器输入短路,用STC89c52单片机内部ADC对直流偏置电压采样,利用单片机和数字算法控制D/A转换器输出对应的调节电压,控制调零放大器调节直流偏置电压为零,既抑制了直流零点漂移,又实现了自动调零校准功 第7页 共40页 中北大学2010届毕业设计说明书 能。 3 理论分析与计算 3.1 宽带增益积 带宽增益积(GBP)为带宽与增益的乘积,描述的是某一种运放的一个固有特性,是一个恒值。当增益提高时,相应的带宽变窄;同理增益降低时,相应带宽就变宽。 AD603主要有三种工作模式:当脚5和脚7短接时,AD603的增益为40Vg+10,这时的增益范围-10dB,30dB,带宽为90Mhz。当脚5和脚7断开时,其增益为40Vg+30,这时的增益范围为10dB,50dB。带宽为9Mhz;当5脚和7脚接上电阻,其增益与带宽范围将处于上述两者之间。本设计采用脚5和脚7短接模式,两个AD603级联增益范围为-20,60dB,带宽约为80MHZ,带宽增益积超过1000MHZ,完全满足题目设计要求。 电压增益:AV,20LOG(Vo/Vi) 电压增益AV?40dB,不是指输出电压幅值除以输入电压幅值,而是指20×LOG(输出电压幅值/输入电压幅值),也就是输出输入电压的商的10为底的对数的20倍。40db表示输出电压与输入电压之比为100倍。 根据系统功能要求,最大电压增益AV ?60dB,3dB 通频带0,10MHz,增益带宽积GBW=AV×Bw,得出GBW=600MdB。 OPA620集成运放的开环增益带宽积为200MHz,为满足系统最大通频带为10MHz的要求,由OPA620构成的单级闭环放大器的最大增益不能大于 增益宽带积200MHzA(dB),,,20dB 式3.1 10MHz10MHz 由OPA620的幅频和相频特性(如图3.1所示)得,当单级闭环放大器的增益为20dB时,线性相位为零的最大频率约为3MHz,10MHz,由此得出当单级闭环增益16dB时,通频带为12.5MHz,满足通频带带宽的设计要求。若同时获得60dB电压增益,至少需要四级放大。第一级放大器,取R1=100,R2 =100,由公式 R320lgAv,20lg(1,),16dB1 式3.2 R2 得R3=530,Av1 =6.3倍;同理可得第二级放大器:R6 =630,Av2 =6.3倍。 第8页 共40页 中北大学2010届毕业设计说明书 3.2 通频带内增益起伏控制 根据带宽增益积的原理:当频率变化时,增益也将发生起伏变化。为实现0,9MHz通频带内增益起伏1?dB,采用单片机、A/D与D/A构成反馈闭环控制系统。通过采用10位A/D芯片TLC1549,对负载电压的实时采集、分析再经10位高精度D/A芯片TLC5615控制AD603压控脚从而达到增益起伏?1dB。 通频带:用于衡量放大电路对不同频率信号的放大能力。 下限截止频率fL:在信号频率下降到一定程度时,放大倍数的数值明显下降,使放大倍数的数值等于0.707倍的频率称为下 限截止频率fL。 上限截止频率fH:信号频率上升到一定程度时,放大倍数的数值也将下降,使放大倍数的数值等于0.707倍的频率称为上限截止频率fH。 通频带fbw:fbw,fH,fL 或者定义为:在信号传输系统中,系统输出信号从最大值衰减3dB的信号频率为截止频率,上下截止频率之间的频带称为通频带,用BW表示通频带越宽,表明放大电路对不同频率信号的适应能力越强。 I 1 0.707 f f1f0f2 图3.1 f1-f2之间为宽频带 在通频带内由于AD829 放大频带增益不平均,在通频带带宽内4MHz 和8MHz 左右增益小于预期值,故需要进行增益补偿。在差分放大电路里J5接口并联一15pF电容增加8MHz频带左右的交流通路,在交流通路的第二级和第三级之间的电阻并联100pF 电容增加4MHz的交流通路,补偿4MHz频带的增益。 在两级6.3倍(16dB)单闭环放大器级联后,再级联一级可变增益放大器(AD603), 第9页 共40页 中北大学2010届毕业设计说明书 以实现对电压增益预置和步进的控制。AD603增益与控制电压的关系为AG(dB)=40Ug+10,输入控制电压Ug由AD603的1脚输入,控制电压范围为-0.5~+0.5。单片机可以通过D/A(将数字量转换为对应的模拟电压量Ug)来控制AD603的放大倍数,中放的最大增益=AGdB+16dB×2。设计时Ug取值范围为-0.5~0,从而实现增益从22dB到42dB可控,并能实现增益为5dB步进。 AD603当脚5和脚7短接时,AD603的增益为40Vg+10,这时的增益范围在-10,30dB。当脚5和脚7断开时,其增益为40Vg+30,这时的增益范围为10,50dB。 如果在5脚和7脚接上电阻,其增益范围将处于上述两者之间。 AD603的增益控制接口的输入阻抗很高,在多通道或级联应用中,一个控制电压可以驱动多个运放;同时,其增益控制接口还具有差分输入能力,设计时可根据信号电平和极性选择合适的控制方案。 3.3 线性相位 线性相位:一个单一频率的正弦信号通过一个系统,假设它通过这个系统的时间需要t,则这个信号的输出相位落后原来信号wt的相位。可以看出,一个正弦信号通过一个系统落后的相位等于它的wt;反过来说,如果一个频率为w的正弦信号通过系统后,它的相位落后delta,则该信号被延迟了delta/w的时间。在实际系统中,一个输入信号可以分解为多个正弦信号的叠加,为了使得输出信号不会产生相位失真,必须要求它所包含的这些正弦信号通过系统的时间是一样的。因此每一个正弦信号的相位分别落后,w1*t,w2*t,w3*t。落后的相位正比于频率w,如果超前,超前相位的大小也是正比于频率w。 普通放大器在放大过程中由于放大器具有一定的延时效应,在放大不同频率的信号时会产生相位变化。故在特定频段内会出现原本处于负反馈的电路。由于延时使得信号倒相180?,处于放大状态从而产生自激现象。本放大系统采用高速运算放大器,在10M 以内无明显的相位变化。 3.4 抑制直流零点漂移 零点漂移:由于直流放大器直接耦合,其中有任何一点静态电位的变动,都有会经耦合放大后在输出中呈现出来,即使没有输入信号,由于温度的变化和电源电压不稳定的影响,输出端也会出现电压的缓慢变动,这种现象叫做零点漂移。直流放大器中,前级的零点漂移会被逐级放大,以致在最后一级的输出端产生很大的漂移电压,而这种漂 第10页 共40页 中北大学2010届毕业设计说明书 移信号与直流放大器所放大的缓慢变化的信号又十分相似,所以当漂移严重时,就无法分辨清输出电压的变化性质,它究竟是由于输入信号的变化引起的,还是因零点漂移而造成的。 放大器工作一段时间会发热,导致放大性能发生变化,本系统采用差分放大电路,故当放大器发生零点漂移时,由于差分放大器使用同样参数的放大器故零点漂移的大小是同样的,假设温漂量为T ,差分放大信号为A,B。 V,V-V 0αβ V,(A,T)-(B,T) 0 由于差分信号是大小相等相位反 V,2A 0 可见直流温度漂移抵消,被抑制。 3.5 放大器稳定性 直流放大器:在自动控制及自动测量系统中,需要把一些非电量(如温度、转速、压力)等参数通过传感器转变成电信号,这些微弱的电信号经放大后就可以推动测量、 记录 混凝土 养护记录下载土方回填监理旁站记录免费下载集备记录下载集备记录下载集备记录下载 机构或控制执行机构,从而实现自动控制或自动测量。这些电信号大都是变化极为缓慢、且极性固定不变的非周期性信号(直流信号),它需要直流放大器放大。宽带直流放大器通频带必须从0开始 。 提高放大器稳定性能的方法有中和法与适配法。中和法通过在输入端和输出端引入中和电路来抵消晶体管内部的反馈作用。适配法利用阻抗不匹配原理,减少了反馈信号对输入电路的影响。使增益减少,提高稳定性。 放大器在工作时会出现自激,外部干扰等,影响放大器稳定的工作。 当放大器深度负反馈时输出信号带有一定的纹波。此时需要在输出口加一个小的电容,消除高频的纹波干扰。在负反馈的电阻上串接一个小电感,可以消除自激。 为抑制干扰在放大器电源两端并接一个0.1uF 的瓷片电容可以消除输出信号的干扰。在印制PCB 板时敷铜走线,可以大大降低信号的干扰。 第11页 共40页 中北大学2010届毕业设计说明书 4 系统硬件电路设计 4.1 跟随、反相电路的设计 差分放大器的输入信号要求为双端输入,故对于信号需要进行变换来得到双端输入。信号经过跟随和反相电路后得到的信号即为原始信号的两倍,并且能提高输入电阻,原理图如图4.1 。 电压跟随器,顾名思义,就是输出电压与输入电压是相同的,就是说,电压跟随器的电压放大倍数恒小于且接近1。 电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 C3C4C2C1 R11 U2U1 INOUT2+OUT1+ -- R10R12 C5C6 图4.1 跟随反向电路 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输出阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输入阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输 第12页 共40页 中北大学2010届毕业设计说明书 入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证。 电压跟随作用:由于它的高输入电阻、低输出电阻,所以电压跟随器起缓冲、隔离、提高带载能力的作用,完成阻抗匹配的功能。 4.2 差分放大电路的设计 [3]AD829是一款低噪、高性能高速运算放大器。其压摆率230V/μs,?15V供电, [6]输出电压最大幅值可达28VPP,带宽750MHz,满足系统设计需要。 [4][5]差分放大器由两个同相放大器和一个差动放大器组成,如图4.2所示。该电路具有输入阻抗高,电压放大倍数容易调节,输出不包含共模信号。 C7C1C2 R7OUT OUT1R3U1+ -C8 C5U3+ -R1 RV1R4R2 C9 R5C6 R6U2- +OUT 2 C4C3 图4.2 差分放大电路 由原理图可知,改变RV1的值就能改变电路的电压放大倍数。 4.3 增益控制电路的设计 该系统用单片机控制继电器选通不同电阻值达到增益控制效果。共分为12 级,步进间隔为5dB。 第13页 共40页 中北大学2010届毕业设计说明书 +5V +5VIN+OUT- AD603-5VJK D14007P0.0 R1240 Q19014 手动D24007 +5V R2-程控6.8KDA+U1uA741 -5V-5V 图4.3 增益控制模块原理图 4.4 补偿电路的设计 一般线性工作的放大器(即引入负反馈的放大电路)的输入寄生电容Cs 会影响电路 [6]的稳定性。放大器的输入端一般存在约几皮法的寄生电容Cs,这个电容包括运放的输入电容和布线分布电容,它与反馈电阻Rf组成一个滞后网络,引起输出电压相位滞后,当输入信号的频率很高时,Cs的旁路作用使放大器的高频响应变差,其频带的上限频率约为: ωh,1/(2πRC) 式4.1 fs 若R f 的阻值较大,放大器的上限频率就将严重下降,同时Cs、Rf引入的附加滞后相 [7][8]位可能引起寄生振荡,因而会引起严重的稳定性问题。对此,一个简单的解决方法是减小Rf的阻值,使ωh高出实际应用的频率范围,但这种方法将使运算放大器的电压放大倍数下降(因Av=-Rf/Rin)。为了保持放大电路的电压放大倍数较高,更通用的方法是在Rf上并接一个补偿电容Cf使RinCf网络与RfCs网络构成相位补偿。RinCf将引起输出电压相位超前,由于不能准确知道Cs的值,所以相位超前量与滞后量不可能得到完全补偿,一般是采用可变电容Cf,用实验和调整Cf的方法使附加相移最小。若Rf=10k 第14页 共40页 中北大学2010届毕业设计说明书 [9]Ω,Cf 的典型值为3,10pF。对于电压跟随器而言,其Cf值可以稍大一些。 4.5 后级功率放大电路的设计 采用电流反馈型运放THS3091做5倍功率放大,如图4.4所示。THS3091具有高达7300V/,s的摆率,带宽不小于200MHz,采用?18V供电。其最大输出电流为250mA,若采用一片THS3091,驱动不了题目要求的最大电压有效值不小于10V的输出,因此采用两片THS3091并联,每片THS3091为50Ω负载提供一半电流。 1.6KΩ +18+18 78400Ω20.1uF-5Ω6 3470uF+14THS3091-185 输入+185THS30917RL150Ω3+5Ω6-18 400Ω2-8 4-18 1.6KΩ470uF 图4.4 功率放大电路 4.6 各级增益控制的设计 通过放大电路,系统总增益可调范围是42 dB~62 dB,不能满足题目的要求。利用两组衰减网络分别将系统增益衰减20 dB和42 dB,如图4.5所示,可实现系统增益分别在0~20 dB、22 ~42 dB和42~62 dB间变化,再结合增益控制模块实现了系统增益手动连续可调、5 dB步进和预置。实验测试得,经42 dB衰减网络后,系统频率特性仍较好。而经20 dB衰减网络后,输入信号频率在1MHZ以上时,增益有所下降,为稳定增益,在衰减电阻上并联15pF的电容进行频率补偿。采用三组继电器对增益范围进行切换,由单片机的I/O口P2.0、P2.1和P2.2控制继电器的动作。 第15页 共40页 中北大学2010届毕业设计说明书 Vpi2Vpi1 R3C1R110K9.1K15pF Vpo2Vpo1 R4R282K1K -42dB衰减-20dB衰减 图4.5 增益衰减网络 4.7通频带选择网络的设计 通过对继电器L1和L2触点的控制实现了系统通频带0-5MHz和0-10MHz两个范围预置,如图4.6所示。系统默认选择10MHz通频带。通过键盘选择通频带,当单片机的P0.5和P0.6口分别向三极管T1和T2的基极送高电平时,继电器的触点动作,使输入信号V2经5MHz的低通滤波器输出,即实现了预置0-5MHz的通频带。 4.7uH5.6uH1uH100ΩR1P0.5L12120ΩL11L13 截止频率L2470pF继电器T150pF510pF1G100Ω+5V5MHzN9013R2'V2VD2 +5V901310MG3.3uH4.7uH1uHT2L1N继电器150ΩR1L22L21L23D P0.6120151pF33pF121pF截止频率150ΩΩR210MHz 图4.6 通频带选择网络 4.8 程控放大电路的设计 如图4.7所示,该程控放大电路为降低成本,仅用到一款运放OPA699,构成增益为1和10两个挡,后面为两个继电器切换电阻衰减网络,一个衰减为0.1倍,另一个为倍0.01。该程控放大电路加上前级AD603的41.58dB最大增益、14dB的末级功率放大等,最终整个系统实现了90dB的最大电压增益。 第16页 共40页 中北大学2010届毕业设计说明书 增益为1增益为1增益为1 -550Ω5400Ω445Ω71 10KΩ3+6增益为增益为0.10.0182Ω2-8 4+5 OPA699750Ω 增益为10 图4.7 程控放大电路 4.9 电源模块的设计 电源电路原理图如图4.8所示,三端稳压芯片7805、7905、LM317和LM337起稳 ,5V,18V压作用,2200uf电解电容、100uf和0.33uf电容起滤除纹波作用,输出分别为、提供给各单元电路。 +5VU1+18VU1.Vo7805Vin.Vo7818VinGndGnd++++0.1uf0.1uf10uf10uf0.33uf0.33uf2200uf2200ufGNDGND220V ~++0.33uf++0.33uf0.1uf10uf0.1uf10uf2200uf2200ufGndGnd-5V-18V.Vo7905VinVo7918Vin.U2U2 图4.8 电源电路原理图 第17页 共40页 中北大学2010届毕业设计说明书 5 系统软件设计 5.1 STC89C51RC/RD+系列单片机简介 STC89C51RC/RD+系列单片机是宏晶科技推出的新一代超强抗干扰,高速,低功耗的单片机,指令代码完全兼容传统8051单片机,12时钟/机器周期和6时钟/机器周期可任意选择,最新的D版本内部集成MAX810专用复位电路。 特点: 1.增强型6时钟/机器周期,12时钟/机器周期8051CPU 2.工作电压:5.5V-3.4V(5V单片机)/3.8V-2.0V(3V单片机) 3.工作频率范围:0-40MHz,相当于普通8051的0,80MHz.实际工作频率可达48MHz. 4.用户应用程序空间4K/8K/13K/16K/20K/32K/64K字节 5.片上集成128 0字 个人自传范文3000字为中华之崛起而读书的故事100字新时代好少年事迹1500字绑架的故事5000字个人自传范文2000字 节/512字节RAM 6.通用I/O口(32/36个),复位后为:P1/P2/P3/P4是准双向口/弱上拉(普通8051传统I/O口)P0口是开漏输出,作为总线扩展用时,不用加上拉电阻,作为I/O口用时,需加上拉电阻。 7.ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器/仿真器可通过串口(P3.0/P3.1)直接下载用户程序,8K程序3秒即可完成一片 8.EEPROM功能 9.看门狗 10.内部集成MAX810专用复位电路(D版本才有),外部晶体20M以下时,可省外部复位电路 11.共3个16位定时器/计数器,其中定时器0还可以当成2个8位定时器使用 12.外部中断4路,下降沿中断或低电平触发中断,PowerDown模式可由外部中断低电平触发中断方式唤醒 13.通用异步串行口(UART),还可用定时器软件实现多个UART 14.工作温度范围:0-75?/-40-+85? 15.封装:PDIP-40,PLCC-44,PQFP-44 第18页 共40页 中北大学2010届毕业设计说明书 5.2 stc89c52的定时/计数器编程的相关寄存器介绍 5.2.1定时器/计数器方式控制寄存器TMOD 定时器工作方式寄存器TMOD用于选择定时器的工作方式,它的高4位控制定时器T1,低4位控制定时器T0。如表5.1所示。 D6 D5 D4 D3 D2 D1 D0 D7 TMOD GATE C/ TM1 M0 GATE C/T M1 M0 T1 T0 表5.1 其中: C/T为功能选择位,当C/T=1 时为计数方式;当C/T=0时为计数方式。M1M0:T/C工作方式定义位,具体定义方式如表5.2: M1 M0 工作方式 方式说明 0 0 0 13位定时/计数器 0 1 1 16位定时/计数器 1 0 2 可自动重装的8位定时/计数器 1 1 3 T0分为2个8位定时器,T1无此方式 表5.2 5.2.2 定时器控制寄存器TCON TCON控制寄存器各位的定义如表5.3: D5 D4 D3 D2 D1 D0 D7 D6 TCON TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 表5.3 其中: TFO(TF1):为T0(T1)定时器溢出中断标志位。当T0(T1)计数器溢出时,由硬件置位,并在允许中断的情况下,发出中断请求信号。当CPU响应中断转向中断服务程序时,有硬件自动将该位清0。 TR0(TR1):运行控制位。当TRO(TR1)=1时启动T0(T1)。该位由软件进行设置。 IE1(IE0):外部中断1(外部中断0)请求标志位。当外部中断到来时, IE1(IE0) 第19页 共40页 中北大学2010届毕业设计说明书 由硬件置位。当响应中断转向外部服务程序时由硬件将IE1(IE0)自动清0。 IT1(IT0):外部中断请求1(0)触发方式控制位。当选择电平触发方式时,IT1(IT0)=0,此时INTx(x=1或0)为低电平有效;若选择为边沿触发方式时,IT0(IT1)=1,INTx则为负跳变有效。 5.2.3 中断允许控制寄存器IE IE控制寄存器各位的定义如表5.4: D3 D2 D1 D0 D7 D6 D5 D4 IE EA -- ET2 ES ET1 EX1 ET0 EX0 表5.4 EA:中断允许控制位。 ET2:定时器2中断允许控制位。 ES:串行中断允许控制位。 EX1(EX0):外部中断1(外部中断0)中断允许控制位。 ET1(ET0):定时器1(定时器0)中断允许控制位。 5.3 软件流程图 ICCAVR:自ATMEL公司的AT90系列单片机诞生以来有很多第三方厂商为AT90系列开发了用于程序开发的C语言工具,ICCAVR就是ATMEL公司推荐的第三方C编译器之一。 ICCAVR是一种符合ANSI标准的C语言来开发MCU程序的一个工具,功能合适、使用方便、技术支持好,它主要有以下几个特点: 1.ICCAVR是一个综合了编辑器和工程管理器的集成工作环境(IDE); 2.源文件全部被组织到工程之中,文件的编辑和工程的构筑也在这个环境中完成,错误显示在状态窗口中,并且当你点击编译错误时,光标自动跳转到错误的那一行; 3.工程管理器还能直接生成可以直接使用的INTEL HEX格式文件,该格式的文件可被大多数编程器所支持,用于下载到芯片中; 4.ICCAVR是一个32位的程序支持长文件名。 Avr studio:avr studio是atmel公司开发的集成开发环境,其中编译器为汇编器。支持调试,片上仿真,下载等功能。一般都是用c编译器开发程序,然后用avr studio来仿真和下载。 第20页 共40页 中北大学2010届毕业设计说明书 系统软件基于STC单片机开发系统.程序流程图如下图所示: 系统初始化 按键扫描 否 有键按下, 是 判断键值 预A置D增采益样并 显 示 电压AGC有效值显示 重新扫描 图5.1 软件流程图 第21页 共40页 中北大学2010届毕业设计说明书 6 系统测试 6.1 测试使用仪器与设备 测试使用仪器与设备如表6.1所示。 表6.1 测试使用仪器与设备 序号 名称、型号、规格 1 WYB-302B2直流稳压电源 2 GOS-6112双通道模拟示波器 3 GDS-2046四踪数字存储示波器 4 EE1412合成(DDS)函数信号发生器 5 LA1032逻辑分析仪 6 BT-3D频率特性测试仪 6.2测试方案与测试结果 6.2.1 测试方法 将各部分电路连接起来,先调整0dB,使输出信号幅度和输入信号幅度相等。接上50Ω的负载电阻进行整机测试。 6.2.2 测试结果与分析 (1)输入阻抗:电路的设计保证输入阻抗大于50Ω电阻,满足题目要求。 (2)输出电压有效值测量:输入加100kHz正弦波,调节电压和增益测得不失真最大输出电压有效值为9.30,9.50V,达到题目大于6V的要求。 (3)输出噪声电压测量:增益调到58dB,将输入端短路时输出电压峰峰值为300mV左右。满足输出噪声电压小于0.5V的要求。 (4)频率特性测量:增益设为40dB档,输入端加10mV正弦波,由于信号源不能 第22页 共40页 中北大学2010届毕业设计说明书 保证不同频段的10mV正弦波幅度稳定,因此每次测量前先调节信号源使得输入信号保持在10mV左右,再测量输出信号。测试的数据如表6.2所示。 表6.2频率特性测试数据 0 5 10010 20 40 50 60 频率(kHz) 37.0 38.3 39.8 40.0 40.0 40.139.9 40.1 增益(dB) 90 100200300 400 500600 800 频率(kHz) 0.999 0.998 0.9970.996 0.997 1.00 1.01 1.02 输出RMS(V) 39.939.9 39.9 39.9 39.9 40.040.0 40.1 增益(dB) 由表6-2数据可以得到,3dB通频带在低频端达到了1KHz,高频端在20MHz以上,由于信号源无法产生大于20MHz的信号故无法测量,从5MHz以上增益的趋势来看最终通频带高频端应大于20MHz,比较符合后级功率放大器的理论高频截止频率25MHz。在20kHz,5MHz频带内增益起伏?0.2dB。 (5)增益误差测量:输入端加有效值为10mV,频率为1MHz的正弦信号,保持幅度稳定,然后预设增益值测量输出信号来计算增益误差。测试的数据如表6.3所示。 表6.3 增益误差测试数据 预置增益(dB) 10 15 2025 30 35 4045 50 输出RMS(mV) 32.3 63.8 127254 502 1010 1982 3953 7451 实际增益(dB) 10.2 15.1 20.1 25.1 30.0 35 40.0 45.9 50.8 增益误差(dB) +0.2 +0.1 +0.1 +0.10.0 0.0 0.0-0.1 -0.2 由表中可以看出增益误差在0.2dB之内,频率较高时,随着输出电压的增大,增益有下降的趋势,这是因为后级功放管工作状态即将接近饱和,通过提高后级电源电压可以使增益更加稳定。 扩展功能中的增益步进1dB也达到了,且增益是从0,80dB可调。0dB放大是后级功放的调零点,需事先校正,所有大于0dB的增益都以0dB为基准。 测58dB以上的增益时,以10mV输入会使输出饱和,故采用固定输出的方法:给定增益,然后减小输入信号,使得输出信号有效值保持为7.00V,再计算增益。实测数据如表6.4所示: 第23页 共40页 中北大学2010届毕业设计说明书 表6.4 高增益测试数据 66 70 73 76 80 预制(dB) 58 60 63 ViRMS(mV)9.237.16 5.31 3.672.26 1.74 1.26无法测量 增益(dB)57.8 59.8 62.4 65.669.8 72.1 74.9 从变化趋势来看,放大80dB误差应该小于2dB,满足题目要求。从整体来看,我们设计的放大器增益为0,80dB,步进1dB,60dB以下增益误差?0.2dB。 (6)自动增益控制(AGC)测量:将放大器切换到AGC模式,改变输入信号电压,观察输出信号并记录输出电压。设定AGC输出电压范围4.5 ,5.5V,把输入信号调到1MHz,把有效值从1mV起往上调,测量输出电压有效值。测试数据如表6-5所示。 表6.5 AGC控制测试数据 输入RMS 1mV 10mV100mV 1V 1.5V 2V ,2V Vorms(V) 5.12 4.96 5.03 4.985.065.02 削波 增益(dB) 74 5434.0 14 10 8 8 从表6.5可以看出输入信号从1mV变化到2V,输出信号变化范围不超过0.2V,当输入信号有效值大于2V时,输入保护电路开始起作用,输出端得到的是畸形的正弦波,故无法测量到增益为0的情况。 输入信号变化范围为20×log[2000/1]=66(dB) 输出信号范围为20×log[5.12/4.98]=0(dB) 所以得到AGC范围为66-0,66dB。 6.2.3 误差产生原因 我们测量的误差主要来源是电磁干扰,由于试验场地有许多电脑和仪器使用开关电源,电磁噪声很大,所以测量输入端短路时的噪声电压时随输入短接方式不同而有很大的误差。 6.3 设计和调试中遇到的问题 整个系统偏重了模拟设计,数字控制简单,控制部分主要是基于MSP430F449进行编程实现没有遇到纯数字控制上的问题。在模拟设计和调试中遇到的问题比较多,需要一一考虑清楚,采用合理的方法解决。本系统为宽带放大,需要考虑增益带宽积的问题,还有放大器的稳定对整个系统至关重要。控制通带内的增益起伏,尤其是本系统是一个直流放大器,直流零点漂移是一个较难解决的问题,在这个问题的解决上我们都学到了 第24页 共40页 中北大学2010届毕业设计说明书 很多东西,特别是在对一个不确定的电路参量进行检测时,采用绝对的地作为参照,这样在任何情况之下都是成立的,并且可以衍生出以地为参照的各种准确的参照。然后依据这样的方法, 我们实现了在不同时间温度情况下能随时数控自我校准的功能。 6.3.1 带宽增益积 带宽增益积是指放大电路通带电压增益与通频带的乘积。 对电压反馈型运放,带宽增益积是一个常数。典型的电压反馈型运放构成的同相放大电路的增益可用A表示: 1,RRfgA, 式6.1 1,1,(1,RR)A(w)fg RR其中A(w)为开环增益,是随频率增加而减小的函数;为反馈电阻,为接在fg反相输入端到地的增益电阻。当 ,,,,1,R/R/Aw,1 式6.2 fg 1,(RR)ff时,频率为-3dB频率, 闭环增益越大,越小。 fg3dB3dB fR 对电流型反馈型运放,带宽增益积并不为常数。其频率由反馈电阻决定,3dBf即电流反馈型运放的频率特性几乎不受闭环增益的影响。 本系统设计最大电压增益?60dB,通频带最大达到10MHz,由于电压增益和通频带都很大,则要求的带宽增益积就更大。实际不可能会具有这么大的带宽增益积的运放,因此应该设计为多级放大。每一级放大设计时,若选用电流型运放,首先要选取合适的RR,再根据放大倍数的设计选取;若选用电压反馈型运放,则在放大倍数(即闭环fg 增益)确定时,要考虑其带宽增益积足够大。整个系统的设计中, 要根据通频带(5MHz或10MHz)和放大倍数来考虑整个放大系统的增益带宽积。 6.3.2 通频带内增益起伏控制 由于各器件的幅频特性以及滤波器的幅频特性不平坦等多种因素,系统通频带内增益会出现起伏。如AD603的频率响应特性有一个增益尖峰,调节AD603的脚5和脚7间的电阻可以使增益变化范围进行平移。该电阻选取合适时,将增益尖峰调节在10MHz之后,在10MHz通频带以内,保证AD603构成的前级放大电路输出信号幅度平坦。后级程控放大和功率放大,选取各参数指标(如增益带宽积、摆率、失调电流、失调电压、 第25页 共40页 中北大学2010届毕业设计说明书 温度系数等)满足本系统设计要求的运放,使信号调理时在10MHz以内幅频特性平坦。再通过无源椭圆滤波器实现题目要求的5MHz和10MHz的-3dB截止频率。 椭圆函数滤波器在有限频带上既有零点又有极点,极零点在通带内产生等纹波,通 ρρ频带内出现增益起伏。椭圆滤波器的通带波纹与反射系数之间的关系为:将减小, R通带踢波纹会减小。可根据通带 dB 2R,,10lg(1,r) 式6.3 dB 内的纹波特性,将AD603的控制电压通过软件作一拟合曲线,调整AD603的控制电压进一步减小纹波带来的增益起伏。但这样需要对信号进行测频才能得到对应频点上的调整控制电压,会同时增加硬件和软件的复杂性。因此,本系统将椭圆滤波器的通带纹波设计得尽量小,使满足通频带增益起伏续?1dB的题目要求。 6.3.3 抑制直流零点漂移 零点漂移是直流放大器直流工作点的渐进的慢变化。产生零点漂移的原因很多,电路中任何元器件参数的变化,供电电源的波动,都会造成输出电压的漂移, 但主要因素还是温度的影响。零漂是一种不规则的缓慢变化,增益越大,放大级数越多,在输出端出现的零漂现象越严重,因此主要考虑放大电路第一级零漂的抑制。 前级放大器AD603后面接入一级放大器作为调零放大器,将本直流放大器输入短路,以0.1dB步进控制AD603的增益,不断采样AD603的输出直流偏置电压,并通过单片机控制D/A转换器在调零放大器的调零端加入对应的校正电压, 使这个直流偏置电压驱动到零,从而很大程度上抑制了直流零点漂移。 后级放大电路中,应尽量采用低温漂运算放大器,如后级采用了OPA690、OPA699、THS3001和THS3091,它们者惧有较低的失调电流和失调电压,但属于高速运放,失调电压温漂系数不一定都很小,如末级功放采用的一THS3091其失调电压温漂为,10,V/:C,因此在一些运放输入端采用了电位器调零措施,而且对功放还安装了小风扇进行散热来抑制温漂。 6.3.4 放大器稳定性 ,j,180: 放大器要达到绝对稳定, 放大器不仅不能同时接近自激振荡条件:和T20lgT,0dB而且要留有适当的富裕量。富裕量越大放大器愈不易产生自激振荡,但设 第26页 共40页 中北大学2010届毕业设计说明书 计也就越困难,对放大器所使用的元器件的要求更严格。 不良接地和不充分的供电电源滤波、大容量容性负载、输入杂散电容的影响、前沿校正(补偿)和高频噪声都对运算放大器的稳定性有影响。一些高速运放只有在增益大于5或更大时才会稳定。 本系统具有较好的稳定性。通过电源旁路电路增加电路的稳定性,许多电源和地之间使用10μF的电容再并联一个0.1μF的陶瓷电容。每一级输出端增加了一个串联电阻,减小负载容性影响。可在反馈电阻上并接一个反馈电容,构成超前相位补偿来补偿输入杂散电容的影响。 同时本系统的椭圆滤波器抑制了高频噪声,也加强了放大器的稳定性。 第27页 共40页 中北大学2010届毕业设计说明书 设计总结 本设计在发挥部分之外,增加了语音自动播报增益,频率等功能,同时利用ATMEGA16的捕获功能实现了高精度频率测量,精度高达几百HZ。 本系统采用可编程增益放大器AD603,实现了增益连续可调,在0-9Mhz带宽范围内增益起伏?1dB;同时,输出电压在一定范围内,波形无明显失真。自制的基于DC/DC的直流稳压电源具有很高的效率。本系统从方案设计,理论计算,实际制作,软硬件调试等方面进行了紧张而又认真仔细的工作,实现了宽带直流放大系统。在理论设计计算方面,我充分运用了我所掌握的知识,力争做到更好。但在实际制作过程中,经常会卡在一些小问题上,说明了我还是缺少实际的工程经验,导致加工工艺和板子的可靠性方面做得不是很好。通过此次毕业设计,我获益匪浅,尤其对电子设计的整个制作流程和设计过程中遇到的问题有了深入的体会。如果在设计与制作中利用数模隔离、电源隔离、滤波和去耦等技术,不但能有效减少噪声和干扰的影响,同时还能提高系统的稳定性。 在每个模块都能正常工作的情况下,整机连调的时候会出现“共地”问题,导致整机会有一个50HZ的工频干扰。改进措施是系统地线不能出现环路,所有地线最好一点接地,包括单片机的数字地和模拟地。在方案实施过程中,由于时间比较紧,来不及制版,而实验板的结构受限,导致频率过高的时候会引入干扰。如果能在精确调整之后,将整体电路利用PCB开出电路板,减少连线引起的干扰,一定可以提高精度和性能。 第28页 共40页 中北大学2010届毕业设计说明书 附录 程序代码 #include #include #define uchar unsigned char #define uint unsigned int uchar code set[]="set: 00.00 V"; uchar code get[]="get: 00.00 V"; 图A2:前级放大 uint result; //采集电压值 sbit cs= P3^7; //AD数据端 sbit dat=P3^6; sbit clk=P3^5; uint da; //输出电压值 sbit CLK =P2^0; //DAs数据端 sbit CS= P2^1; sbit DIN =P2^2; sbit LCD_RS = P2^7; //液晶控制端 sbit LCD_RW = P2^6; sbit LCD_EN = P2^5; sbit add=P0^0; //数据加 sbit del=P0^2; //数据减 第29页 共40页 中北大学2010届毕业设计说明书 uint value; //设定值 void delay1(int ms) { uchar y ; while(ms--) { for(y = 0 ; y<250 ; y++) { _nop_() ; _nop_() ; _nop_() ; _nop_() ; } } } void delay(uint k) //延时子程序 { uchar i,j; for(i=0;iresult) da++; while(value
本文档为【宽带直流放大器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_633423
暂无简介~
格式:doc
大小:80KB
软件:Word
页数:44
分类:高中语文
上传时间:2017-09-28
浏览量:7