首页 定点补码.doc

定点补码.doc

举报
开通vip

定点补码.doc定点补码.doc 沈阳航空工业学院 课 程 设 计 报 告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码一位乘法器的设计 院(系):计算机学院 专 业:计算机科学与技术 班 级:4401102 学 号:200403011048 姓 名:郭晓亮 指导教师:刘泽显 完成日期:2006年12月31日 沈阳航空工业学院课程设计报告 目 录 第1章 总体设计方案 ................................................................

定点补码.doc
定点补码.doc 沈阳航空工业学院 课 程 设 计 报 告 课程设计名称:计算机组成原理课程设计 课程设计题目:定点补码一位乘法器的设计 院(系):计算机学院 专 业:计算机科学与技术 班 级:4401102 学 号:200403011048 姓 名:郭晓亮 指导教师:刘泽显 完成日期:2006年12月31日 沈阳航空工业学院课程设计报告 目 录 第1章 总体设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ............................................................................................... 2 1.1 补码乘法器设计原理 ....................................................................................... 2 1.2 设计思路 ........................................................................................................ 4 1.3 设计环境 ........................................................................................................ 5 第2章 详细设计方案 ............................................................................................... 5 2.1 补码乘法器电路图的设计与实现 ........................................................................ 6 2.1.1 补码乘法器设计 .......................................................................................... 6 2.1.2 器件的选择与引脚锁定 .............................................................................. 8 2.1.3 编译、综合、适配 ...................................................................................... 8 2.2 功能模块的设计与实现 .................................................................................... 9 2.2.1 两输入三选一选择器模块的设计与实现.................................................... 9 2.2.2 半加器模块的设计与实现 ........................................ 错误~未定义 关于书的成语关于读书的排比句社区图书漂流公约怎么写关于读书的小报汉书pdf 签。7 2.3 仿真调试 ......................................................................................................... 10 第3章 编程下载与硬件测试 ................................................................................. 12 3.1 编程下载 ......................................................................................................... 12 3.2 硬件测试及结果分析 ..................................................................................... 12 参考文献 .................................................................................................................... 14 -I- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 第1章 总体设计方案 1.1 补码乘法器设计原理 原码乘法的主要问题是符号位不能参加运算,单独用一个异或门产生乘积 的符号位,故自然提出能否让符号数字化后也参加乘法运算,补码乘法就可以实现符号位直接参加运算。 布斯(Booth)算法,它采用相加和相减的操作计算补码数据的乘积,Booth算 法对乘数从低位开始判断,根据两个数据位的情况决定进行加法、减法还是仅仅 移位操作。 Booth算法操作表示 表1.1-1 S0 S1 操作 说明 0 0 无 处于0串中,不需要操作 0 1 加x 1串的结尾 1 0 减x 1串的开始 1 1 无 处于1串中,不需要操作 判断的两个数据位为当前位及其右边的位(初始时需要增加一个辅助位 0),移位操作是向右移动。判断被乘数中的最低位以及右边的位(辅助位0),如果 -2- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 为00,则只进行移位操作;之后在判断移位之后的最后两位,如果为01,则进行 加法操作并进行移位操作;如果最后两位为10,则进行减法操作并移位,这个减 法操作相当于减去2x的值;判断最后的差值,如为1,则部分积加[X]补;如为0, 则不分积加0;如为-1,则部分积加[-X]补,最后一次不移位。 。开实现这种补码乘法 规则 编码规则下载淘宝规则下载天猫规则下载麻将竞赛规则pdf麻将竞赛规则pdf 时,在乘数最末位后面要增加一位补充位 yn+1始时,由 判断第一步该怎么操作;然后再由 判断第二步该怎么yyyynn+1 n- 1 n 正好移到原来 操作。因为每做一步要右移一位,故做完第一步后, yyyyn- 1 nnn+1 的位置上。依此类推,每步都要用 yy 位置进行判断,我们将这两位称为判nn+ 1 断位。如果判断位 yy = 01,则 y „ y = 1,做加[x]操作;如果判nn+1i+1i 补 断位 yy = 10,则 y „ y = - 1,做加[ - x]操作;如果判断位 yy n n+1i+1i 补 n n+1= 11 或 00,则 y „ y = 0,[ z] 加0,即保持不变。 i+1i i Booth乘法算法的 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 图 下面为补码设计原理框图,用加法器,移位寄存器,计数器,多路开关,和控制电路实现补码乘法,如图1.1: -3- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 图1.1 补码乘法原理框图 1.2 设计思路 根据补码一位乘法运算规则:(1) 如果 y = y,部分积 [ z] 加0,再nn+1i 右移一位;(2) 如果 yy = 01,部分积加[ x ],再右移一位;(3) 如果 yn n+1补n y = 10,部分积加[ - x],再右移一位;这样重复进行 n+1 步,但最后一n+1补 步不移位。包括一位符号位,所得乘积为 2n+1 位,其中 n 为尾数位数。, 设计一个二输入三选一选择器对可能的三种情况进行选择。 当选择器中输入为Yi Yi+1为00或者11时,由一寄存器一端接GND,另一 端对其进行零输入; 当选择器中输入为Yi Yi+1为01时,对其进行[X]补输入; 当选择器中输入为Yi Yi+1为10时,对[X]补输入端加一非门和一加法器对其进行取反加1输入。 输出结果与一个一端接GND初始置零的寄存器相连接于一个加法器,实现部 分积加法运算 -4- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 计算结果存放于两个相同的移位寄存器中,当部分积相加之后,由两个移位寄存器同时对部分积的和进行移位操作。 最后由两个移位寄存器的输出端连接至选择器重新选择进行循环操作,直到部分积移位结束。 1.3 设计环境 ?硬件环境:伟福COP2000型计算机组成原理实验仪、XCV200实验板、微机; ?EDA环境:Xilinx foundation f3.1设计软件、COP2000仿真软件。 -5- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 第2章 详细设计方案 2.1 补码乘法器电路图的设计与实现 顶层方案图实现选择器的逻辑功能,采用原理图设计输入方式完成,电路实现基于XCV200可编程逻辑芯片。在完成原理图的功能设计后,把输入/输出信号安排到XCV200指定的引脚上去,实现芯片的引脚锁定。 2.1.1补码乘法器设计 补码乘法器主要由一个三选一数据选择器组装而成的一个完整的设计实体。其实现补码一位乘功能,其与原码一位乘有如下区别:被乘数的符号和乘数的符号都参加运算。 乘数寄存器 R,其初始状态为“0有附加位 y”。n+1 1 当乘数和部分积每次右移时,部分积最低位移至 R的首位位置,故 R必须1 1 是具有右移功能的寄存器。 被乘数寄存器 R 的每一位用原码(即触发器 Q 2 端)或反码(即触发器 Q 端)经多路开关传送到加法器对应位的一个输入端,而开关的控制位由和 y 的 y输出译码器产生。当 yy = 01时,送[x];nn+1 nn+1补 当 yy = 10 时,送[-x],即送的反码且在加法器最末位上加“1”。 R保nn+1补0 存部分积,它也是具有右移功能的移位寄存器,其符号位与加法器 ? 符号f位始终一致。 当计数器 i = n +1 时,封锁 LD R 和 LD R 控制信号,01使最后一位不移位。补码乘法器由加法器,移位寄存器,寄存器,计数器,多路开关,和控制电路实现补码乘法,可利用Xilinx ISE ECS模块实现顶层图形文件的设计,通过认真分析和同学共同研讨,得到乘法器电路图,如图2.1所示。 -6- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 图2.1 补码一位乘具体实现芯片连接图 -7- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 2.1.2器件的选择与引脚锁定 (1)器件的选择 由于硬件设计环境是基于伟福COP2000型计算机组成原理实验仪和XCV200 实验板,故采用的目标芯片为Xlinx XCV200可编程逻辑芯片。 (2)引脚锁定 输入信号 XCV200芯片引脚 K0 103 K1 102 K2 101 乘数末尾八位 K3 100 输入端S0 K4 097 K5 096 K6 095 K7 094 K0 087 K1 086 K2 085 K3 084 乘数末尾八位 K4 082 输入端S1 K5 081 K6 080 K7 079 2.1.3编译、综合、适配 利用Xilinx ISE编译器对顶层图形文件进行编译、综合、优化、逻辑分割、适配和布线,生成可供时序仿真的文件和器件下载编程文件。 -8- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 2.2 功能模块的设计与实现 二输入三选一选择器、两个寄存器、一个加法器和一个非门共同实现数据选择功能,其中一个寄存器和选择器一端相连起零输入作用,选择器一端输入[X]补,将该端连接一非门和一个加一的加法器输出端连接至选择器 2.2.1两输入三选一选择器模块的设计与实现 两输入数据选择器的两个输入分别用s0、s1表示,输出用q表示,形成的VHDL程序用ORM2.VHD表示,其设计过程如下。 (1)创建VHDL源文件 module prc (a,b,c,s0,s1,q); input [7:0] a ; wire[7:0] a ; input [7:0] b ; wire[7:0]c; input [7:0]c ; wire[7:0]c; input s0; input s1 ; wire s0,s1; output [7:0] q ; reg [7:0] q ; always@(s0 or s1 or a or b or c) begin; if(s0==1'b0&&s1==1'b0) q[7:0]=a[7:0]; else if(s0==1'b0&&s1==1'b1) q[7:0]=b[7:0]; else if(s0==1'b1&&s1==1'b1) q[7:0]=c[7:0]; else q[7:0]=a[7:0]; end endmodule (2)创建元件图形符号 为了能在图形编辑器(原理图设计输入方式)中调用此两输入三选一数据选择器,需要为ORM2创建一个元件图形符号,可用Xilinx ISE编译器的Create -9- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 Symbol模块实现。 (3)功能仿真 对创建的三选一数据选择器元件进行功能仿真,验证其功能的正确性,可用Xilinx ISE编译器的Simulator模块实现。 (2)创建元件图形符号 为了能在图形编辑器(原理图设计输入方式)中调用此半加器,需要为H_ADDER创建一个元件图形符号,可用Xilinx ISE编译器的Create Symbol模块实现。 (3)功能仿真 对创建的半加器元件(H_ADDER)进行功能仿真,验证其功能的正确性,可用Xilinx ISE编译器的Simulator模块实现。 2.3 仿真调试 仿真调试主要验证设计电路逻辑功能、时序的正确性,本设计中主要采用功能仿真 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 对设计的电路进行仿真。 (1)建立仿真波形文件及仿真信号选择 功能仿真时,首先建立仿真波形文件,选择仿真信号,对选定的输入信号设置参数,选定的仿真信号和设置的参数如表所示。 输入信号 输出信号 S0 S1 q CC 58 DC 02 18 36 01 85 85 81 85 05 -10- 沈阳航空工业学院课程设计报告 第2章 详细设计方案 (2)功能仿真结果与分析 图2.3-1 补码乘法器仿真波形图 由表2.3-1和图2.3-1所示信息对比可知,仿真正确,能实现补码一位乘法计算功能。 -11- 沈阳航空工业学院课程设计报告 第3章 编程下载与硬件测试 第3章 编程下载与硬件测试 3.1 编程下载 利用Xilinx ISE的编程下载功能,将得到的*.bin文件下载到XCV200实验板的XCV200可编程逻辑芯片中。 3.2 硬件测试及结果分析 利用XCV200实验板进行硬件功能测试。三选一数据选择器的输入数据通过XCV200实验板的输入开关实现,输出数据通过XCV200实验板的LED指示灯实现,其对应关系如表3.1所示。 表3.2-1 XCV200实验板信号对应关系 XCV200芯片引脚信号 XCV200实验板 S0 K1 S1 K2 q LED1 输入数据,逐个测试输出结果,即用XCV200实验板的开关K1、K2输入数据,同时观察LED1的输出,得到如表3.2所示的硬件测试结果。 表3.2-1 硬件测试结果 输 入 信 号 输 出 信 号 K1 K2 LED1 CC 58 DC 对表3.2-1与表1.1-1的内容进行对比,可以看出硬件测试结果是正确的,说明电路设计完全正确。 -12- 沈阳航空工业学院课程设计报告 第3章 编程下载与硬件测试 -13- 沈阳航空工业学院课程设计报告 附 录 参考文献 [1] 曹昕燕. EDA技术实验与课程设计,M,.北京:清华大学出版社,2006 [2] 范延滨.微型计算机系统原理、接口与EDA设计技术[M].北京:北京邮电大学 出版社,2006 [3] 王爱英.计算机组成与结构(第4版)[M].北京:清华大学出版社,2006 [4] 胡越明.计算机组成与设计[M].北京:科学出版社,2006 [5]廖裕评,陆瑞强.CPLD数字电路设计--使用MAX+PLUS?[M].北京:清华大学出版社,2001.217-221. -14- 沈阳航空工业学院课程设计报告 参考文献 课程设计总结: 课程设计总结: 本次实验有接触到了新的硬件语言VERILOG,语言并不是做电路的关 键,最重要是对基本器件的灵活运用,和逻辑框图的生成,这些是我们应该 具备的基本的能力,从而一步一步完成电路的搭建。经过一学期课堂上理论 的学习,对计算机的硬件结构有了初步的了解,对计算机并不一定有深刻的 理解,通过做实验能学到书本上面没的东西,有增强了自己的动手能力。 我的课设题目为定点补码一位乘法器的设计,该设计逻辑电路主要是通 过一个三选一数据选择器对两个输入端的不同输入作出输出选择,即S0, S1为两输入端,若结果为01,则部分积加[X]补;若结果为00或者11,则 部分积加0;若结果为10,则部分积加[-X]补。通过选择器的选择功能和加 法器达到补码一位乘法器的工作效用。 尽管在实验过程中遇到过很多的问题,但是正是因为这些问题,才能更 好的完善自己的知识体系,使自己更加进步。感谢本次课程设计,感谢各位 老师~ 指导教师评语: 指导教师(签字): 年 月 日 课程设计成绩 -15- 沈阳航空工业学院课程设计报告 -16-
本文档为【定点补码.doc】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_353097
暂无简介~
格式:doc
大小:141KB
软件:Word
页数:0
分类:生活休闲
上传时间:2018-10-03
浏览量:15