首页 现代交换原理课程设计--设计一个“TSST”时分数字交换网

现代交换原理课程设计--设计一个“TSST”时分数字交换网

举报
开通vip

现代交换原理课程设计--设计一个“TSST”时分数字交换网现代交换原理课程设计--设计一个“TSST”时分数字交换网 现代交换原理 课程设计报告 题 目 设计一个“TSST”时分数字交换网 学 院 电子信息工程学院 专 业 XXXX 学生姓名 XXX 学 号 201010315XXX 年级 2010级 指导教师 宋刚 职称 副教授 2013年12月6日 设计报告成绩 ,按照优、良、中、及格、不及格评定, 指导教师评语: 指导教师,签名, 年 月 日 说明:指导教师评分后~设计报告交院实验室保存。 设计一个“TSST”时分数字交换网 专 业: 学 号...

现代交换原理课程设计--设计一个“TSST”时分数字交换网
现代交换原理课程设计--设计一个“TSST”时分数字交换网 现代交换原理 课程设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 题 目 设计一个“TSST”时分数字交换网 学 院 电子信息工程学院 专 业 XXXX 学生姓名 XXX 学 号 201010315XXX 年级 2010级 指导教师 宋刚 职称 副教授 2013年12月6日 设计报告成绩 ,按照优、良、中、及格、不及格评定, 指导教师评语: 指导教师,签名, 年 月 日 说明:指导教师评分后~设计报告交院实验室保存。 设计一个“TSST”时分数字交换网 专 业: 学 号: 学 生: 指导教师:宋刚 摘要:一个完整的通信系统由终端、交换、传输三部分构成,交换是通信系统的核心。其中,时分接线器(T型)和空分接线器(S型)是程控交换技术中最基本的交换单元电路,S接线器的作用是完成在不同复用线之间同一时隙内容的交换,T接线器的作用是完成在同一条复用线上的不同时隙之间的交换。为了实现不同用户之间的通话,数字交换网络必须完成不同复用线上不同时隙的交换,即将数字交换网络上某一条输入复用线上某个时隙的内容,交换到指定的输出复用线的指定时隙。本设计中为达到一定的容量要求,在交换前要将多个PCM低次群系统复用成PCM高次群系统,然后一并进行交换。交换完成后要将复用的信号还原到原来的的PCM低次群上。本课程设计采用TSST四级接线器构成数字交换网络,能同时完成时间交换和空间交换的功能。 关键词:数字交换网络;T接线器;S接线器;复用线 I 目 录 绪论 ............................................................... 1 第1章 时间(T)接线器 ........................................... 2 1.1 T接线器的基本功能 .......................................... 2 1.2 T接线器的基本组成 .......................................... 2 ................................ 2 1.3 T接线器的工作方式和工作原理 第2章 空间(S)接线器 ............................................. 5 2.1 S接线器的基本功能 .......................................... 5 2.2 S接线器的基本组成 .......................................... 5 2.3 两种控制方式和控制原理 ..................................... 6 第3章 网络阻塞 .................................................... 8 3.1 网络阻塞的计算 ............................................. 8 3.2 内部阻塞 ................................................... 8 第4章 TSST时分数字交换网络 ...................................... 10 4.1 TSST设计思路 .............................................. 10 4.2 TSST数字交换网络的系统组成 ................................ 10 4.3 TSST数字交换网络系统的工作原理 ............................ 11 第5章 结论 ....................................................... 15 参考文献 .......................................................... 16 II 成都学院(成都大学)课程设计报告 绪论 程控数字交换技术、计算机技术和大规模集成电路产物,是数字电话网、移动通信网和综合业务数字网的关键设备,在电信网中起着非常重要的作用。以数字交换和数字传输为基础的数字电话网已能向用户提供良好的话音及相关新业务。近20年以来,程控数字电话网在我国得到了飞速的发展。程控交换技术在交换领域也出现了新情况,即“新技术层出不穷,多种新技术同时发展,技术可选择性不易确定及各个学科技术相互交叉”。 本课题介绍的是“TSST”时分数字交换网的原理及设计。第一至二章主要介绍课程设计前期准备工作和时分数字交换网络的原理及优势;第三章介绍数字交换网络即T接线器、S接线器以及输入控制、输出控制的原理;第四章介绍TSST接线器的设计原理和系统分析;第五章计算TSST接线器的网络阻塞。从本设计的基本机构来看,前三章属于基本内容,后三章属于课程设计的主题。本设计在TSST时分数字交换网系统结构时,花费的时间较多。对前三章的基本内容不宜花费过多的时间和精力。 设计参数: 输入级:128个T接线器; 输出级:128个T接线器; 每个接线器要求16线,每条HW线复用度为32; 中间级:S型接线器,接线法自定 设计内容: (1)T、S接线器的工作原理 (2)系统组成(含系统图) (3)系统工作原理(举例说明,以某一个时隙交换为例) (4)网络阻塞讨论及分析 1 成都学院(成都大学)课程设计报告 第1章 时间(T)接线器 1.1 T接线器的基本功能 T接线器的作用是完成在同一条复用线上的不同时隙之间的交换。即将T接线器中输入复用线上某个时隙的内容交换到输出复用线上的指定时隙。 1.2 T接线器的基本组成 -1 T接线器的结构如图1 由图可见,T接线器主要由话音存储器(SM)、控制存储器(CM)以及必要的接口电路(如串?并,并?串转换等)组成。SM和CM都包含若干个存储器单元,存储器单元 话音存储器 SM 话音信息 TS1TSj SSS 时钟 CLK 控制命令字 CM图1-1 T接线器的结构 数量等于复用线的复用度。 1.3 T接线器的工作方式和工作原理 控制存储器T接线器有两种控制方式:输出控制方式和输入控制方式。 2 成都学院(成都大学)课程设计报告 1、输出控制方式 采用输出控制方式的T接线器的工作原理如图1-2(a)所示。 输出控制方式也叫顺序写入、控制读出方式,T接线器的输入线的内容按照顺序写入话音存储器(SM)的相应单元,即输入复用线上第I时隙的内容就写入SM的第I个单元。话音存储器的写入地址,是由时钟信号分频后得到的。而输出复用线某个时隙应读出话音存储器的哪个单元的内容,则由控制器的相应单元的内容来决定,即控制存储器的第j个单元存放的内容k就是输出复用线第j个时隙应该读出的话音存储器的地址。控制存储器的内容是在呼叫建立时由计算机写入的,在此呼叫处理期间,控制存储器j单元的内容保持不变。 2、输入控制方式 采用输入控制方式的T接线器的工作原理如图1-2(b)所示。 输入控制方式也叫控制写入、顺序读出方式,采用输入控制方式时T接线器的输入复用线上某个时隙的内容,应写入话音存储器的哪个单元,由控制存储器相应单元的内容来决定。即控制存储器的I单元的内容j,就是输入复用线TSi的内容应写入的话音存储器的的地址j。同样,控制存储器的内容,是在呼叫建立时由计算机控制写入的。而输出复用线的某个时隙,就依次读出话音存储器相应单元的内容,即在时隙k时,就将话音存储器的k单元的内容读出,输出到输出线的TSk。话音存储器的读出地址,是由时钟信号分频得到的。 3 成都学院(成都大学)课程设计报告 SMSM00 TS206TS20TS6TSSS6SSSS 20 3131写读读写CMCM00 206206 3131写写读读 CPU CLKCPU CLK (a)输出控制方式 (b)输入控制方式 图1-2 时间(T)接线器的工作原理 4 成都学院(成都大学)课程设计报告 第2章 空间(S)接线器 ... 2.1 S接线器的基本功能 S接线器的作用是完成在不同条复用线上的同一时隙之间的内容交换。即将某条输入复用线上某个时隙的内容交换到指定的输出复用线的同一时隙。由于交换前后发生变化的是被交换内容所在的复用线,而其所在的时隙并不发生变化,因此,可以形象地将其称为空间交换。 2.2 S接线器的基本组成 S接线器的组成结构如图2-1所示。 HW1HW1 HW2HW2... ...HWnHWnCM1CM2CMn 0 1...... ... 图2-1 S接线器的组成结构 由图可见,S接线器主要由一个连接n条输入复用线和n条输出复用线的n×n的电m 子接点矩阵、控制存储器组以及一些相关的接口逻辑电路组成。S接线器交换的时隙信号通常是并行信号,因此,在实际交换系统中,如果交换的花园信号是8位的数字信号。电子交叉点矩阵由高速门电路构成的多路选择器组成,矩阵的大小取决于S接线器的容量。控制存储器共有n组,每组控制存储器的存储单元数等于复用线的复用度。第j组控制存储器的第I个单元,用来存放在时隙I时第j条输入(输出)复用线应接通的输 5 成都学院(成都大学)课程设计报告 出(输入)线的线号。设控制存储器的位元数为i,S接线器的输入(输出)线的数目 i,n2为n,则控制存储器的位元数应满足以下关系:。 2.3 两种控制方式和控制原理 ... 与T接线器类似S接线器也有输出和输入两种控制方式。在输出控制方式下,控制存储器是为输出线配置的。对于有n条输出线的S接线器来说,配备有n组控制存储器CM1~CMn,设输出线的复用度为m,则每组控制存储器都有m个存储单元。CM1控制第1条输出线的连接,在CM1的第I个存储单元中,存放的内容是时隙I时第1条输出线应该接通的输入线的线号。CM2控制第2条输出线的连接,依次类推,CMn控制第n条输出线的连接。控制存储器的内容是在连接建立时由计算机控制写入的。在输出控制方式下工作的S接线器的工作原理如图2-2所示。 由图可见由于控制存储器CM1的1号单元值为n,所以输出线HW1在时隙1时与输入线HWn接通,将输入线HWnTS1上的内容C交换到输出线HW1的TS1上,CM1的2号单 TS TS2TS1TS3TS21TS3gecHW1dahHW1 HW2HW2da...iheb HWnHWnCM2CM1CMncgiffb0 n1...21 221n ...n132 ...... 31 图 2-2 输出控制方式下工作的S接线器的工作原理 元的值为2,所以输出线HW1在时隙2时与输入线HW2接通,将输入线HW2TS2的内容e 6 ... 成都学院(成都大学)课程设计报告 交换到输出线HW1的TS2。 在输入控制方式时,控制存储器是为输入线配置的,在控制存储器CMq的第I个单 元存放的内容,是地q条输入复用线在时隙I时应接通的输出线的线号。 -3所示。 输入控制方式下S的工作原理与输入控制方式类似,如图2 TS 1TS2TS1TS3TS2TS3geibHW1daHW1 gcdHW2HW2heb... HWnHWnachiffCM1CM2CMn 0 n121... 221n ...n132 ...... 31图 2-3 输入控制方式下的S接线器的工作原理 7 成都学院(成都大学)课程设计报告 第3章 网络阻塞 3.1 网络阻塞的计算 在进入阻塞计算之前,首先我们得了解话务量,话务量反应了电话负荷的大小,与 AS,,,呼叫发生强度和平均占用的时间长度有关。其话务量的表达式是,其中A表示 ,话务量强度,单位为爱尔兰(Erl),为呼叫发生强度,S为平均占用时长。 占用概率分布:在一个线束中同时占用的线路数是一个随机变量。爱尔兰分布适用于花源数N为无穷大、线束容量为有限值的情况。在爱尔兰分布情况下,线束中有X条被占用的概率为式子(3-1) XA X!pX,,,imA ,ii,0! (3-1) pX,,式中,为线束中x条线被占用的概率,A为线束的流入话务量,m为线束的容量。当x=m时,线束全忙,即产生呼损,爱尔兰呼损公式为(3-2) mA !XEpXEA,,,,,,,mimA ,0!ii, (3-2) 式中,E为线束发生呼损的概率,A为线束的流入话务量,m为线束的容量。实际应用中可以查看爱尔兰呼损表,只要知道E,A和m的任何两个值,通过查表可以查出第三个值。 3.2 内部阻塞 多级交换网络会出现内部阻塞。图3-1所示为一个nm×nm的两级交换网络,第一级由m个n×n的交换单元构成,第二级由n个n×m的交换单元构成,第一级同一交换单元的不同编号的出线分别接到第二级不同交换单元的相同编号上。交换网络的nm条 8 成都学院(成都大学)课程设计报告 入线中的任何一条均可与nm条出线的任何一条接通,因此它相当于一个nm×nm的单级交换网络。 图3-1中,第一级的每一个交换单元与第二级的每一个交换单元之间仅存在一条链路,假设当第一级1号入线与第二级2号交换单元的2号出线接通时,第一级1号交换单元的任何其他入线都无法再与第二级2号交换单元的其余出线接通。这就是网络的内部阻塞。按照计算机和数据通信的观点,网络内部阻塞也可称为冲突,即不同入线上的信息试图同时同时占用同一条链路。 111122„„221„„1nnnm „入出11„1122线2„„2线22nnnm 11„„„112222nnnnnm „ 图 3-1 nm×nm的两级交换网络 9 成都学院(成都大学)课程设计报告 第4章 TSST时分数字交换网络 4.1 TSST设计思路 本课程设计是一个TSST时分数字交换网络。 利用时间T接线器和空间S接线器功能设计一个要求输入为128个T接线器,每个T接线器输入线为16条HW线,第一个空间接线器S1是8*16的交叉矩阵,第二个空间接线器S2是16*8的交叉矩阵,输出是128个T接线器,每个T接线器输出线为16条HW线,此系统中每条HW线的复用度都为32。 在本课程设计中,初级T接线器采用输入控制方式,中间级的两个S接线器也都采用输入控制方式,次级T接线器采用输出控制方式。 4.2 TSST数字交换网络的系统组成 TSST交换网络的系统组成的结构如图4-1所示。 TSST交换网络是四级交换网络,两侧是T接线器,中间采用S接线器。对于有16条输入复用线和16条输出复用线的交换网络而言,每16条输入、输出复用线分别接一 ...个初级T接线器和一个次级T接线器,需要配置256套T接线器。其中128个T接线器在输入端,称为初级T接线器,将输入线上的某个时隙的内容交换到选定的交换网络内部公共时隙;另外128个T接线器在输出端,称为次级T接线器,将交换网络内部的公 初级TS1S2次级T TT00输输8*1616*8T1出T1...入...交叉交叉 端端矩阵矩阵 TT127127 图 4-1 TSST交换网络系统组成结构 共时隙的内容交换到输出线的制定时隙。中间两个S接线器主要是完成不同复用线上的内容的交换。空间接线器S1采用8*16的交叉矩阵,空间接线器S2采用16*8的交叉矩阵。交换网络内部能够提供的公共时隙的数量决定了交换网络中能够形成的话音通道的 10 成都学院(成都大学)课程设计报告 数量。中间S接线器主要由相应设计的线路来决定,是用来将交换网络内部运载用户信息的公共时隙,从一条输入侧复用线上交换到规定的一条输出复用线上。而初级T接线器和次级T接线器一般采用不同的工作方式。一般将数字交换网络的输入端称为上行通路用来与用户信息的发送端相连:将数字交换网络的输入端称为下行通路,用来与用户信息的接收端相连。 其网络方框图如图4-2所示。初级T接线器有128个,每个初级T接线器接有16条输入复用线,输出级为8条复用线。中间级由8个S1与S2组成的网络构成,S1与S2组成的网络如图4-2中虚线框所示,每个虚线框中有16个S1和16个S2组成,S1接线器是8*16的交叉矩阵,S2接线器是16*8的交叉矩阵。次级T接线器也有128个,每个次级T接线器输入级有8条复用线,输出级有16条输出复用线。TSST交换网络的复用线的具体连接如图4-2所示。 S1S2 TT 1616888|1616|832320000 ...16|88|16 15015... ...... ...16|88|16 00...... 16168816|88|163232 1515 7127127图4-2 TSST网络方框图 4.3 TSST数字交换网络系统的工作原理 TSST网络的工作原理如图4-3所示。 11 成都学院(成都大学)课程设计报告 初级T接线器采用输入控制方式,即控制写入、顺序读出方式。S1接线器采用输入控制方式。S2采用输入控制方式。次级T采用输出控制方式,即控制输出、顺序写入的方式。复用线的复用度为32。图4-3所示的TSST网络初级T接线器和次级T接线器都有128个,连接有16条输入、输出复用线。S1采用8*16的交叉矩阵,S2采用16*8的交叉矩阵。 下面简要说明用户A到用户B的交换过程。 A?B的交换:将用户A的话音信息经第一个初级T接线器的HW的TS,交换到用户B15占用的第128个次级T接线器的HW的TS,交换网络的内部时隙选用ITS。为完成这02010个交换,计算机在呼叫建立时将初级T接线器的第一个接线器的控制存储器CMA的第50个时隙的值设置为10,由于初级T接线器采用的时输入控制方式,所以在初级T接线器的输出时隙是第10个时隙,并且用户A的信息由第一个初级T接线器话音存储器出来后交换到第一条IHW线上,IHW再连接到空间接线器S1的第一组的第一个接线器的00 HWC,这个接线器的控制存储器CMC(0)的第10个时隙的值设置为15,由于空间接线器00 采用输入控制方式,所以HWC和HWC相连。然后HWC连接到空间接线器S的第一组的015152第15个接线器的HWD,这个接线器的控制存储器CMD(15)中第10个时隙的值设置为00 7,此接线器采用输出控制方式,所以信息由此接线器的输出复用线的HWD线输出,此7接线器的输出复用线的HWD与第128个次级T接线器的输入复用线的IHW接通。第12870个次级T接线器的控制存储器CMB(20)的值设置为10,由于次级T接线器采用输出控127 制的方式,所以A的信息交换到第20时隙输出,所占用的复用线是此接线器的输出复用线HW。0 12 成都学院(成都大学)课程设计报告 B?A的交换:将用户B的话音信息经第128个初级T接线器HW的TS,交换到用020户A占用的第1个次级T接线器HW的TS。其内部时隙ITS选用一般采用反相法来决定。15 采用反相法时,两个通路内部时隙相差半帧,其公式表示为4-1所示。 n,,YXn,,mod 4-1 ,,2,, 式中Y为方向通路的内部时隙号,X为正向通路的内部时隙号,n为每帧的时隙数即 mod n表示(X+2/n)对n取余。在本例中,S*S的复用度为32,(复用度)。(X+2/n)12按照反相法,反向通路的内部时隙号计算公式入式子4-2所示。 n32,,,,YXn,,,,,mod10mod6426 4-2 ,,,,22,,,, 为了完成此交换,计算机在建立呼叫时将初级T接线器的第128个接线器的控制存储器CMA(20)的值设置为26,由于初级T接线器采用的是输入控制的方式,所以用127 户B的信息从此接线器出来是在ITS时隙。并且此信息交换到第128个初级T接线器26 的内部复用线IHW上。此内部复用线IHW与空间接线器S的第一组的第16个接线器的001 HWC相接,这个空间接线器的控制存储器CMC(15)的第26个时隙的值设置为0,由于空77 间接线器采用输入控制方式,所以S的第一组的第16个空间接线器的输入线HWC与其17输出线HWC相连。其输出线HWC与S的第一组的第1个空间接线器的HWD相连。S的002152第一组的第1个空间接线器的控制存储器CMD(0)的第26个时隙的值设置为0,此接线15 器采用输入控制方式,所以此接线器的输入线HWD与其输出线的HWD相连,其输出线150的HWD与第1个次级T接线器的输入线IHW相连,这个时间接线器的控制存储器CMB000的第5个时隙设置为26,此接线器采用输出控制方式,所以用户B的信息交换到TS,5所占用的复用线是次接线器的输出复用线HW。 1 13 成都学院(成都大学)课程设计报告 S1S2次级T接线器初级T接线器 SMBSMA000HWHW00ITSITSTSTS0102655HWD0ABBIHWIHWAHW001HWDHW151HWC8|1616|815AB1026HWC0…………00IHW732HWHW31IHW15157…… 0A?B0HWD78|1616|8HWC7HWCHWD00 5510261515003131CMACMB002601015 3131CMC(0)(0)0CMD15 …… …… 00 260SMA710127SMB127TS20ITS0TSITS1026203131IHW0ABBHWA0CMD(15)0HWCMC(15)07IHW0A10B26…………HWHW151531IHWIHW77 …0B?A0 10202026 3131CMACMB127127 输出控制输入控制输入控制输入控制 图4-3 TSST 网络的工作原理 14 成都学院(成都大学)课程设计报告 第5章 结论 随着数字交换网络技术的不断发展,数字交换网络是程控交换系统中一种规模可缩放的大容量数字交换部件,目前在交换局中运行的程控数字交换系统,其数字交换网络主要采用复制式T型时分交换。在实现上通常采用专用通信芯片。现今数字网络已经在通信应用中起着至关重要的作用。从整体上看,大大简化了网络容量的局限性,实现大容量。其业务能力加强,且具有强大的网络智能化管理。现在的数字交换网络也增加了很多个性业务。相信数字交换机将是现在数字通信社会不可取代的智能设备。 程控交换机是电话交换网中的核心设备,其主要功能是完成用户之间的链接。数字程控交换机是现代数字通信技术、计算机技术和大规模集成电路相结合的产物。 为了实现不同用户之间的通话,数字交换网络必须完成不同复用线上不同时隙的交换,即将数字交换网络上某一条输入复用线上某个时隙的内容,交换到指定的输出复用线的指定时隙。时间(T)接线器和空间(S)接线器是数字交换机中两种最基本的接线器,将一定数量的T接线器和S接线器按照一定的结构组织起来,可以构成具有足够容量的数字交换网络。 T接线器的作用是完成在同一条复用线上的不同时隙之间的交换,即将T接线器中输入复用线上某个时隙的内容交换至输出复用线的指定时隙。 T接线器主要由话音存储器(SM)和控制存储器(CM),以及必要的借口电路组成。T接线器可以有两种控制方式:输出控制方式和输入控制方式,两种控制方式下,话音存储器(SM)的写入和读出地址按照不同的方式确定。 空间S接线器的作用是完成在不同丰富一下之间同一时隙内容的交换,即将某条输入复用线上某个时隙的呢让人交换到自定的输出复用线的同一时隙。 n的电子接点矩阵、S接线器主要由一个连接n条输入复用线和n条输出复用线的n× 控制存储器组以及一些相关的借口逻辑电路组成。 S接线器也由输出和输入两种控制方式,在输出控制方式下,控制存储器是为输出线配置的。在输入控制方式时,控制存储器是为输入线配置的。 15 成都学院(成都大学)课程设计报告 参考文献 [1] 桂海源,张碧玲.现代交换原理(第4版)[M].北京:人民邮电出版社,2013.1 [2] 商书明,万东.数字程控交换技术与应用[M].北京:北京理工大学出版社,2008.2 [3] 张文东.程控数字交换技术原理[M].北京:北京邮电大学出版社,1994 [4] 张曙光,李茂长.电话通信网与交换技术[M].北京:国防工业出版社,2002.6 [5] 页敏.程控数字交换与交换网[M].北京邮电大学出版社,2003 16
本文档为【现代交换原理课程设计--设计一个“TSST”时分数字交换网】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_036899
暂无简介~
格式:doc
大小:46KB
软件:Word
页数:18
分类:生活休闲
上传时间:2017-11-12
浏览量:44