首页 数控调频发射器设计

数控调频发射器设计

举报
开通vip

数控调频发射器设计数控调频发射器设计 ------------------------------------------------------------------------------------------------ 数控调频发射器设计 第1章:绪论 1.1 本文研究的目的、背景和意义 数控调频发射器采用单片机控制芯片和锁相环集成电路实现调频立体声信号的调制与发射,四位LED数码管动态扫描显示功能,并且独立设计该产品的显示部分和发射部分,有效的增强了顾客的可操作性。FML数控调频发射器实际上就是一个个人微型广...

数控调频发射器设计
数控调频发射器设计 ------------------------------------------------------------------------------------------------ 数控调频发射器设计 第1章:绪论 1.1 本文研究的目的、背景和意义 数控调频发射器采用单片机控制芯片和锁相环集成电路实现调频立体声信号的调制与发射,四位LED数码管动态扫描显示功能,并且独立设计该产品的显示部分和发射部分,有效的增强了顾客的可操作性。FML数控调频发射器实际上就是一个个人微型广播电台,能将Discman、MD、MP3(包括苹果iPod)等各种便携式音、视频播放器中的音频信号转换成高保真的无线FM调频立体声信号发射出去,扩展了现有播放器的应用功能和应用环境将播放器(MP3/CD/MD/PDA)的音频信号用FM发射出去,让所有在有效距离内的FM接受设备能够在指定频段内接受到发射器所发射的信号。具有功耗低、结构美观、安装方便等特点。 本系统采用单片机和BH1415F作主控器件,通过单片机预置数据控制BH1415F,利用BH1415F内部的PLL将频率锁定,所以频率是很稳定的。由于显示采用了四位数码管显示,用键盘输入所需频率,使得操作非常方便直观.。目前大多数高校校内用的调频广播发射器,发射频率固定单一,稳定性差。本文设计了可在80.0 MHZ至109 .0MHZ范围内任意设置发射频率的数控调频发射器,可预置 11 个频道,具有单声道/立体声控制功能。 比传统调频台应用更灵活可靠,可广泛应用于学校无线广播、电视现场导播、汽车航行、无线演—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 说等 1.2本文的具体工作 1、利用AT89C52设计一个数控调频发射器,数控调频发射器可在80.0MHz至109.9MHz范围内任意设置发射频率,可预置11个频道,发射频率调整最小值位0.1MHz具有单声道/立体声控制; 2、上网搜集资料,设计电路各模块,分别有单片机模块、键盘输入模块、显示模块、调频发射模块; 3、使用PROTEL99 SE画出电路原理图,并画出PCB; 4、根据搜索的资料及设计电路的理解,编写出该数控调频发射器的程序,并进行调试。 1 第2章:数控调频发射器的硬件设计 2.1电路原理 2.1.1数控调频发射器组成 该电路由举证键盘模块、调频发射模块、单片机模块、显示模块组成,如图1所示: 图1 数控调频发射器组成 矩阵键盘模块采用16*16矩阵键盘,接入P1口T4—T15为发射频率预置键,T16为单声道/立体声控制键。 调频发射模块采用Rohm公司最新生产的调频发射专用集成电路BH1415F,内含立体声信号调制、调频广播信号发射电路,BH1415F内有前置补偿电路、限制器电路、低通滤波电路等,因此具有良好的—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 音色,内置PLL系统调频发射电路,传输频率非常稳定。调频发射频率可用单片机通过串行口直接控制,接单片机的P3.0、P3.1、P3.2。作为与HB1415的通讯端口,用于传送发射频率控制数据,P3.3用于立体声发射批示。采用12MHZ晶振,模拟串口通讯。 单片机模块采用AT89C52,采用最小化应用系统设计,P0口和P2口作为共阳LED数码管驱动用,P1口作为16键的键盘接口。 显示模块采用4位数码管动态显示,数据接入P0口,位控制接入P2口用于显示发射频率值。 2 2.1.2基于MCS51的数控调频发射器电路原理图(详见附录I) 图2基于MCS51的数控调频发射器电路原理图 3 2.1.3 基于MCS51的数控调频发射器PCB 图3基于MCS51的数控调频发射器PCB 4 2.2显示电路 2.2.1 LED数码管的原理 LED数码显示器由发光二极管(LED)构成“日”字型或“田”字型,发光二极管由磷砷化镓或碳化硅等材料制成,当给发光二极管的PN结两端施加正向电压时,电流加大,由于电子和空穴复合时释放出的热量而发光。 LED数码显示器字体高度有0.3in、0.4in、0.5in、0.56in、0.6in、—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 0.8in、 2.3in、4.0in等多种规格;其发光颜色有红、橙、黄、绿、桔红等几种。 2.2.2 MCS-51单片机与LED数码管的硬件连接图 由于单片机复位后,各个引脚输出都为高电平,因此选用共阴极的LED数码管。每个数码管的使能端com1,com2,com3,com4分别接到P3.0,P3.1,P3.2,P3.3,当向使能端输出低电平,即可选通相对应的数码管。74LS244为三态输出的八组缓冲器和总线驱动器,选用的四位八段数码管本身已经集成了译码器,这样既简化了线路的连接,又降低了错误发生的概率。图3为LED部分。 图4 LED部分 2.3矩阵键盘电路 键盘是微机系统中最常用的人机对话出入设备。键盘有两种基本类型:编码键盘跟非编码键盘。 在单片机应用系统中,键盘扫描只是CPU的工作内容之一。CPU对键盘的响应取决于键盘的工作方式,键盘的工作方式应根据实际应用系统中CPU的工作状况而定,其选取的原则是既要保证CPU能及时响应按键操作,又不要过多占用 5 CPU的工作时间。通常,键盘的工作方式有三种,即编程扫描、定时扫描和中断扫描。 8051单片机键盘接线图9如下: 图5 矩阵式键盘接线图 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 6 2.4单片机模块 2.4.1时钟源电路 单片机内部具有一个高增益反相放大器,用于构成振荡器。通常在引脚Xl和X2跨接石英晶体和两个补偿电容构成自激振荡器,结构如图5 中Y2、C28、C29选择12MHz的石英晶体,补偿电容通常选择30pF左右的瓷片电容。 2.4.2复位电路 单片机小系统采用上电自动复位和手动按键复位两种方式实现系统的复位操作。上电复位要求接通电源后,自动实现复位操作。手动复位要求在电源接通的条件下,在单片机运行期间,用按钮开关操作使单片机复位。其结构如图5中R16、C30和S18。上电自动复位通过电容C30充电来实现。手动按键复位是通过按键将电阻R16与GND接通来实现。 图6 单片机模块 2.5调频发射模块 2.5.1 BH1415F概要 BH1415F 是一种无线音频传输集成电路,它可以将计算机声卡、游戏机、CD、DVD、MP3、调音台等立体声音频信号进行立体声调制发射传输,配合普通的调频立体声接收机就可实现无线调频立体声传送。适合用于生产立体声的无线音箱、无线耳机、CD、MP3、DVD、PAD、笔记本计算机等的无线音频适配器开发生产。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 这个集成电路是由提高信噪比(S/N)的预加重电路、防止信号过调的限幅电路、 控制输入信号频率的低通滤波电路(LPF)、产生立体声复合信号的立体声调制电路、 调频发射的锁相环电路(PLL)组成。 7 1、特点: 1)将预加重电路、限幅电路、低通滤波电路(LPF)一体化,使音频信号的质 量比分立元件的电路(如:BA1404、NJM2035等)有很大改进。 2)导频方式的立体声调制电路。 3)采用了锁相环锁频并与调频发射电路一体化,合发射的频率非常稳定。 4)采用了MCU 数据直接频率设定,可设定70-120MHz频率,使用上非常方便。 2 、结构图: 图7 BH1415结构图 8 9 图8 BH1415元件尺寸及其封装 10 2.5.2 电路应用 说明 关于失联党员情况说明岗位说明总经理岗位说明书会计岗位说明书行政主管岗位说明书 1、预加重电路 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 预加重电路是一个非线性音频放大器,它的内部工作点为1/2 Vcc,因为它是非线性放大器,所以输入阻抗取决为内部电阻R3=43KΩ,预加重时间取决于内部电阻R2=22.7K 和外部电容C1=2200p。 图9 预加重电路 时间常数τ=C1R2 ,R1=1K 是一个限流电阻,防止自激的产生。 2、限幅电路 图10 限幅电路 限幅电路是由二极管限幅的反相放大器组成,它的内部工作点为1/2 Vcc。 3、低通滤波电路 低通滤波电路是由二阶低通反馈放大电路组成,它的分频点为15KHz。 图11 低通滤波电路 具体的公式如下: Q=0.577、ω0=1.274 、fc=15KHz R1=R2=R3=Rf=100KΩ Cf=1/ω0 Rf=1/(2πX1.274X15KX100K)=83.28pF C1=3Q Cf =3X0.577X83.28pF=144pF?150pF 11 C2=Cf/3Q=83.28p/(3X0.577)=48?50pF 4、立体声调制电路 音频信号从第1脚和第22脚输入后通过预加重电路、限幅电路—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 和低通滤波电路后送到混合器(MPX)中,另外由第13、14脚接入7.6MHz晶体的振荡电路通过200分频后产生的38KHz副载波信号,同时38KHz副载波通2分频产生的19KHz导频信号。音频信号和38KHz的副载波信号被多路复合器进行了平衡调制,产生了一个主信号(L+R)和一个通过DSB 调制的38KHz 副载波信号(L-R),并与19KHz导频信号组成复合信号从第5脚输出。 图12 立体声调制电路 5、FM发射电路 FM发射电路采用稳定频率的锁相环系统。这一部分由高频振荡器、高频放大器及锁相环频率合成器组成。调频调制由变容二极管组成的高频振荡器实现,高频振荡器是一个锁相环的VCO,立体声复合信号通过它直接进行调频调制。 图13 FM发射电路 高频振荡器是由第9脚外部的LC 回路与内部电路组成,振荡信号经过高频放大器从11脚输出,同时输送到锁相环电路进行比较后从第7脚输出一个信号对高 12 频振荡器的值进行修正,确保频率稳定。一但频率超过锁相环设定的频率,第7 脚将输出的电平变高;如果是低于设定频率,它将输出的电平变低;相同的时候,它的电平将不变。 6 、各脚位内部电路 13 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 14 2.5.3调频发射模块电路原理图 图14调频发射模块电路原理图 15 第3章:软件设计 3.1数控调频发射器的键盘扫描程序 3.1.1数控调频发射器的键盘扫描程序设计 本程序采用4X4行列式查询法,其方法是对P1.0~P1.3行线口分别为0然后读入P1口高4位的值。若不为1111则说明有键按下根据P1口值与键号表进行查表对照从而取得按键的键号值,并跳转至键功能程序。键盘扫描程序流程图如图15所示: 图15 键盘扫描程序流程图 16 3.1.2数控调频发射器键盘扫描程序 START: LCALL CLEARMEN ;上电初始化 MAIN: LCALL KEYWORK ;调查键子程序 LCALL DISPLAY ;LED显示一次 AJMP MAIN ;转MAIN循环 NOP ;PC出错处理 NOP ; AJMP START ;重新初始化 KEYWORK: MOV P1,#0FFH ;置P1口为输入状态 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ CLR P1.0 ;扫描第1行(第一行为0) MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低3位为0 CJNE A,#0F0H,KEYCON;高4位不全位1(有键按下)转KEYCON SETB P1.0 ;扫描第二行(第二行位0) CLR P1.1 ; MOV A,P1 ;读入P1口值 ANL A,0F0H ;低4位位0 CJNE A,#0F0H,KEYCON;高4位不全位1(uou键按下)转KEYCON SETB P1.1 ;扫描第三行(第三行位0) CLR P1.2 ; MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低4位位0 CJNE A,#0F0H,KEYCON ;高4位不全位1(有键按下)转KEYCON SETB P1.2 ; 扫描第三行(第三行位0) CLR P1.3 ; MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低4位为0 CJNE A,#0F0H,KEYCON;4位不全位1(uou键按下)转KEYCON SETB P1.3 ;结束行扫描 RET KEYCON: LCALL DL10MS ;消抖处理 MOV A,P1 ;再读入P1口值 ANL A,#0F0H ;低4位位0 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ CJNE A,#0F0H,KEYCHE ;高四位不全位1,确有键按下,转KEYCHE KEYOUT: RET ;干扰,子程序返回 KEYCHE: MOV A,P1 ;读P1口值 MOV KEYWORD,A ;放入23H暂存 CJLOOP: LCALL DISPLAY ;调显示子程序 MOV A,P1 ;读P1口值 ANL A,#0F0H ;低4位位0 CJNE A,#0F0H,CJLOOP ;高4位全为1(键还按着),转CJLOOP 等待释放 17 MOV R7,#00H ;键释放,置R7位#00好(查表次数) MOV DPTR,#KEYTAB ;取键表首地址 CHEKEYLOOP: MOV A,R7 ;查表次数入A MOVC A,@A+DPTR ;查表 XRL A,KEYWORD ;查表值与P1口读入值比较 JZ KEYOK ;为0(相等)转KEYOK INC R7 ;不等,查表次数加1 CJNE R7,#10H,CHEKEYLOOP ;查表次数不超过16次转CHEKEYLOOP再查16次 RET ;退出 ; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ KEYOK: MOV A,R7 ;查表次数入A(即键号值) MOV B,A ;放入B RL A ;左移 ADD A,B ;相加 MOV DPTR,#KEYFUNTAB;取键功能散表首地址 JMP @A+DPTR ; 查表 KEYFUNTAB: LJMP KEYFUN00 ;键功能散转表。跳至0好键功 能 LJMP KEYFUN01 ;跳至01号键功能程序 LJMP KEYFUN02 ;跳至02号键功能程序 LJMP KEYFUN03 LJMP KEYFUN04 LJMP KEYFUN05 LJMP KEYFUN06 LJMP KEYFUN07 LJMP KEYFUN08 LJMP KEYFUN09 LJMP KEYFUN10 LJMP KEYFUN11 LJMP KEYFUN12 LJMP KEYFUN13 LJMP KEYFUN14 LJMP KEYFUN15 ;跳至15号键功能程序 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ;散转出错返回 ; ;键号对应P1k偶数值表(同时按下两键位无效操作) KEYTAB: DB 0EEH,0DEH,0BEH,7EH,0EDH,0DDH,0BDH,7DH DB 0EBH,0DBH,0BBH,0E7H,0D7H,0B7H,77H,0FFH,0FFH ; ;0号键功能程序 KEYFUN00: INC 29H ;百位数加1 MOV A,29H ;入A CLR C ;清进位标志 CJNE A,02H,FUN00 ; 18 FUN00: JC FUN00OUT ;百位小于2转FUN00OUT FUN00OUT: MOV A,29H ;判断百位是0还是1 XRL A,#01H ; JNZ F00OUT1 ;若百位为0转F00OUT1 MOV 28H,#00H ;若百位位1,十位为0 AJMP F00OUT ; F00OUT1: MOV 28H,#08H ;若百位为0,十位数改为8 F00OUT: LCALL DISPUTDAT ;写入控制芯片 RET ; ;01号键功能程序 KEYFUN01: INC —————————————————————————————————————— ------------------------------------------------------------------------------------------------ MOV CLR CJNE FUN01: JC MOV XRL JNZ MOV AJMP FUN01OUT: MOV XRL JNZ MOV AJMP F01OUT: MOV XRL JZ MOV XRL JZ MOV F001OUT: LCALL DISPUTDAT —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ; ;02号键功能程序 KEYFUN02: INC MOV CLR CJNE FUN02: JC MOV FUN02OUT: LCALL DISPUTDAT 28H ;十位数加1 A,28H ;入A C ;清进位标志 A,#0AH,FUN01 ;判断是否小于10 FUN01OUT ;十位数小于10转FUN01OUT 28H,#00H ;半段百位数试0不是1 A,#01H ; F01OUT ; 28H,#00H ;百位数位1时,十位数为0 F001OUT ; A,#29 ;判断百位数是0不是1 A,#01H ; F01OUT ; 28H,#00H ;百位是1时,十位数是0 F001OUT ; A,28H ;百位为0时,十位数只能是8或9 A,#08H ;判断是不是8 F001OUT ;十位数是8转F001OUT A,28H ; A,#09H ;判断是不是9 F001OUT ;十位数是9转F001OUT 28H,#08H ;不是8也不是9,十位赋值为8 ;写入控制芯片 ;返回 27H ;个位数加1 A,27H ; C ; A,#0AH,FUN02 ;判断是否小于10 FUN02OUT ;小于10转FUN02OUT 27H,#00H ;大于或等于10清为0 ;写入控制芯片 19 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ; ; ;03号键功能程序 KEYFUN03: INC 26H MOV A,26H CLR C CJNE A,#0AH,FUN03 FUN03: JC FUN03OUT MOV 26H,#00H ;个位加1 ; ; ;判断事发后小于10 ;小于10转FUN03OUT ;大于或等于10清为0 FUN03OUT: LCALL DISPUTDAT RET ; ;04号功能键程序(频率预置) KEYFUN04: MOV 29H,#01H MOV 28H,#00H MOV 27H,#09H MOV 26H,#00H LCALL DISPUTDAT RET ; ;05号功能键程序(频率预置) KEYFUN05: MOV 29H,#01H MOV 28H,#00H MOV 27H,#08H MOV 26H,#00H LCALL DISPUTDAT RET ; ;06号功能键程序(频率预置) KEYFUN06: MOV 29H,#01H MOV 28H,#00H MOV 27H,#05H MOV 26H,#00H LCALL DISPUTDAT RET ; ;07号功能键程序(频率预置) KEYFUN07: MOV 29H,#01H MOV 28H,#00H MOV 27H,#00H MOV 26H,#00H LCALL DISPUTDAT RET ; ;写入控制芯片 ;预置109.0MHz发射频率 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ;写入控制芯片 ;预置108.0MHz发射频率 ;写入控制芯片 ;预置105.0MHz发射频率 ;写入控制芯片 ;预置100.0MHz发射频率 ;写入控制芯片 20 ; ;08号功能键程序(频率预置) KEYFUN08: MOV 29H,#00H MOV 28H,#09H MOV 27H,#08H MOV 26H,#00H LCALL DISPUTDAT ;预 置98.0MHz发射频率 ;写入控制芯片 RET ; ;09号功能键程序(频率预置) KEYFUN09: MOV 29H,#01H MOV 28H,#09H MOV 27H,#06H MOV 26H,#00H LCALL DISPUTDAT RET ; ;10号功能键程序(频率预置) KEYFUN10: MOV 29H,#00H MOV 28H,#09H MOV 27H,#04H MOV 26H,#00H LCALL DISPUTDAT RET ; ;11号功能键程序(频率预置) —————————————————————————————————————— ------------------------------------------------------------------------------------------------ KEYFUN11: MOV 29H,#00H MOV 28H,#09H MOV 27H,#02H MOV 26H,#00H LCALL DISPUTDAT RET ; ;12号功能键程序(频率预置) KEYFUN12: MOV 29H,#00H MOV 28H,#09H MOV 27H,#00H MOV 26H,#00H LCALL DISPUTDAT RET ; ;13号功能键程序(频率预置) KEYFUN13: MOV 29H,#00H MOV 28H,#08H MOV 27H,#08H ;预置96.0MHz发射频率 ;写入控制芯片 ;预置94.0MHz发射频率 ;写入控制芯片 ;预置92.0MHz发射频率 ;写入控制芯片 ;预置90.0MHz发射频率 ;写入控制芯片 ;预置88.0Hz发射频率 21 MOV 26H,#00H LCALL DISPUTDAT ;写入控制芯片 RET ; ;014号功能键程序(频率预置) —————————————————————————————————————— ------------------------------------------------------------------------------------------------ KEYFUN14: MOV 29H,#00H ;预置80MHz发射频率 MOV 28H,#08H MOV 27H,#00H MOV 26H,#00H LCALL DISPUTDAT ;写入控制芯片 RET ; ;15号键功能程序(立体声/单声道设置键) KEYFUN15: CPL 03H ;立体/单声标志取反 JNB 03H,MONO ;为0转单声道MONO CLR P3.3 ;为1开立体声只是灯 LCALL PUTBIT ;发送控制字至BH1415F RET MONO: SETB P3.3 ;关立体声指示灯 LCALL PUTBIT ;发送控制字至BH1415F RET 22 3.2基于51单片机的数码管显示程序 3.2.1数码管的动态扫描 动态显示的特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。这样一来,就没有必要每一位数码管配一个锁存器,从而大大地简化了硬件电路。选亮数码管采用动态扫描显示。所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。动态显示的亮度比静态显示要差一些,所以在选择限流电阻时应略小于静态显示电路中的。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 3.2.2数码管的动态显示程序设计 本程序采用动态扫描法显示4位频率数值,数码管动态扫描显示 程序流程图如图16所示: 图16 数码管动态扫描显示程序流程图 3.2.3 数码管动态扫描显示程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;; ;显示程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;; ;共阳LED显示,P0口输出断码,P2口输出扫描字 DISPLAY: MOV R1,#26 ;显示首地址 23 PLAY: PLAY1: ENDOUT: ; MOV R5,#0FEH MOV A,R5 MOV P2,A MOV A,@R1 MOV DPTR,#TAB MOVC A,@A+DPTR MOV P0,A MOV A,R5 JB ACC.1,PLAY1 CLR P0.7 LCALL DL1MS INC R1 JNB ACC.3,ENDOUT RL A MOV R5,A SETB P0.7 AJMP PLAY MOV P2,#0FFH MOV P0,#0FFH RET ;没扫描字 ;放入A ;P2口输出 ;取显示数据 ;取段码首地址 ; 查段码 ;从P0输出 ;读入扫描字 ;不试视为LED,不显示小数点 ;试十位,显示小数 ;点亮1ms ;指向下一显示数据 ;是第4位LED,退出 ;不是,左移一位 ;放 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 回R5 ;关小数点 ;转PLAY循环 ;显示结束,关显示输出口 ; 3.3 数控调频发射器的频率控制 3.3.1 51单片机与BH1415的通信 A、数据信号发送方式: 24 B、数据内容说明: 25 3.3.2单片机与BH1415通信程序设计 与BH1415通信采用串行通信,P3.0、P3.1、P3.2作为与HB1415的通讯端口,用于传送发射频率控制数据,P3.3用于立体声发射批示。采用12MHZ晶振,模拟串口通讯。程序设计流程图如图17所示 : 图17 单片机与BH1415通信程序流程图 3.3.3单片机与BH1415通信程序 一、将BCD码转为十六进制数程序 ;将BCD码转为十六进制数程序 BCDB: MOV CONBITL,#00H ;控制字清 MOV CONBITH,#00H ;控制字清 MOV CONBITL,26H ;小数位数放入控制子低8位 MOV A,27H ;个位数乘10操作 MOV B,#10 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ LCALL MULLOOP ;调乘法子程序 MOV ,28H ;十位数乘100财足以 MOV B,#100 LCALL MULLOOP ;调乘法子程序 MOV A,29H ; JNZ ADD3E8 ;百位数位1转ADD3E8(加1000操作) 26 RET ;百位数为0退出 ADD3E8: CLR C ;清进位档标志 MOV A,#0E8H ;低8位加法 ADD A,CONBITL ;累加 MOV CONBITL,A ;放回CONBITL MOV A,03H ;高8位加法 ADDC A,CONBITH ;控制字高8位处理 RET ; ;乘法累加处理程序(将四位显示的十进制BCD码转位1个二进制数) MULLOOP: MUL AB ;乘法 CLR C ;清进位标志 ADD A,CONBITL ;积低8位与CONBITL相加 MOV CONBITL,A ;放回CONBITL MOV A,CONBITH ; ADDC A,B ; 积高8位与CONBITH带进位累加 MOV CONBITH,A ;放回CONBITH —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ; ;频率控制数据与5位控制码合成BH1415F控制字 CONCOMMAND: ANL CONBITH,#07H ;高4位为0 MOV A,20H ;控制字放入A ORL A,CONBITH ;合成控制字 MOV CONBITH,A ;放回CONBITH RET 二、发送控制字节子程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;发送控制字节子程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;;; ; PUTBIT: MOV A,CONBITL ;低8位控制字入A SETB P3.2 ;BH1415F使能 LCALL PUT ;发送8位 MOV A,CONBITH ;高8位控制字入A LCALL PUT ;发送8位 CLR P3.2 ;BH1415F写禁止 CLR P3.0 ;复位 CLR P3.1 ;复位 RET —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ; ;字节发送子程序 PUT: MOV R3,#8 ;发送8位控制 CLR C ;清C PUT1: RRC A ;带进位位右移(先发低位) 27 MOV P3.0,C ;地位送至P3.0口 NOP ;延时4us NOP ; NOP ; NOP ; SETB P3.1 ;锁存数据(上升沿时锁存数据) NOP ; 延时4us NOP NOP NOP CLR P3.1 ; DJNZ R3,PUT1 ;8位未发完转PUT1再发 RET ;8位发完结束 ; ;513us 延时子程序 DL513: MOV R3,#0FFH DL513LOOP: DJNZ R3,DL513LOOP —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ; ;1ms延时子程序(LED点亮用) DL1MS: MOV R4,#02H DL1MSLOOP: LCALL DL513 DJNZ R4,DL1MSLOOP RET ; ;10ms延时子程序(消抖用) DL10MS: MOV R6,#0AH DL10MSLOOP: LCALL DL1MS DJNZ R6,DL10MSLOOP RET ; 3.4 数控调频发射器程序 ;;;;;;;;;;;;;;;;;;; ;数控调频发射器控制器 ;;;;;;;;;;;;;;;;;;;;;;;;;;; ;26H~29H存放显示小数位、个位、十位、百位BCD码数,24H~25H存放频率控制数据(16进制) ; CONBITL EQU 21H ;频率控制字节低8位 CONBITH EQU —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 22H ;频率控制字节高8位 KEYWORD EQU 23H ;存放 键扫描试P1口值 ; ORG 0000H ;程序开始地址 28 ; ;初始化程序 CLEARMEN: CLEARLOOP: CLEAR1: ; ;主程序 START: MAIN: LJMP START ;转STAR执行 ORG 0003H ; RETI ;不用中断程 序 ORG 000BH ; RETI ;不用中断程序 ORG 0013H ; RETI ;不用中断程序 ORG 001BH ; RETI ;不用中断 程序 ORG 0023H ; RETI ;不用中断程序 ORG 002BH ; RETI ;不用中断程序 MOV R0,#20H ;20H~29H循环清0 MOV R1,#0AH ; MOV @R0,#00H ; INC R0 ; DJNZ R1,CLEARLOOP ; MOV P0,#0FFH ;4端口置1 MOV P1,#0FFH ; MOV P2,#0FFH ; MOV P3,#0FFH ; CLR P3.0 ;BH1415禁止操 作 CLR P3.1 ; CLR P3.2 ; LCALL KEYFUN15 ;置立体声发射方 式 MOV PCON,#00H ;控制寄存器清0 MOV 29H,#00H ;置初始 值位88MHZ(显示为088.0)MOV 28H,#08H ; MOV 27H,#08H ; MOV 26H,#00H ; LCALL DISPUTDAT ;写入BH1415芯片(修改发 射频率)RET ;子程序返回 LCALL CLEARMEN ;上电初始化 LCALL KEYWORK ;调查键子程序 LCALL DISPLAY ;LED显示一 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 次 AJMP MAIN ;转MAIN循环 NOP ;PC出错处理 NOP ; AJMP START ;重新初始化 29 KEYWORK: MOV P1,#0FFH ;置P1口为输入状态 CLR P1.0 ;扫描第1行(第一行为0) MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低3位为0 CJNE A,#0F0H,KEYCON;高4位不全位1(有键按下)转KEYCON SETB P1.0 ;扫描第二行(第二行位0) CLR P1.1 ; MOV A,P1 ;读入P1口值 ANL A,0F0H ;低4位位0 CJNE A,#0F0H,KEYCON;高4位不全位1(uou键按下)转KEYCON SETB P1.1 ;扫描第三行(第三行位0) CLR P1.2 ; MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低4位位0 CJNE A,#0F0H,KEYCON ;高4位不全位1(有键按下)转KEYCON SETB P1.2 ; 扫描第三行(第三行位0) CLR P1.3 ; MOV A,P1 ;读入P1口值 ANL A,#0F0H ;低4位为0 CJNE A,#0F0H,KEYCON;4位不全位1(uou键按下)转KEYCON SETB P1.3 ;结束行扫描 RET —————————————————————————————————————— ------------------------------------------------------------------------------------------------ KEYCON: LCALL DL10MS ;消抖处理 MOV A,P1 ;再读入P1口值 ANL A,#0F0H ;低4位位0 CJNE A,#0F0H,KEYCHE ;高四位不全位1,确有键按下,转KEYCHE KEYOUT: RET ;干扰,子程序返回 KEYCHE: MOV A,P1 ;读P1口值 MOV KEYWORD,A ;放入23H暂存 CJLOOP: LCALL DISPLAY ;调显示子程序 MOV A,P1 ;读P1口值 ANL A,#0F0H ;低4位位0 CJNE A,#0F0H,CJLOOP ;高4位全为1(键还按着),转CJLOOP等待释放 MOV R7,#00H ;键释放,置R7位#00好(查表次数) MOV DPTR,#KEYTAB ;取键表首地址 CHEKEYLOOP: MOV A,R7 ;查表次数入A MOVC A,@A+DPTR ;查表 XRL A,KEYWORD ;查表值与P1口读入值比较 JZ KEYOK ;为0(相等)转KEYOK INC R7 ;不等,查表次数加1 CJNE R7,#10H,CHEKEYLOOP ;查表次数不超过16次转 30 CHEKEYLOOP再查16次 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ;退出 ; KEYOK: MOV A,R7 ;查表次数入A(即键号值) MOV B,A ;放入B RL A ;左移 ADD A,B ;相加 MOV DPTR,#KEYFUNTAB;取键功能散表首地址 JMP @A+DPTR ; 查表 KEYFUNTAB: LJMP KEYFUN00 ;键功能散转表。跳至0好键功能 LJMP KEYFUN01 ;跳至01号键功能程序 LJMP KEYFUN02 ;跳至02号键功能程序 LJMP KEYFUN03 LJMP KEYFUN04 LJMP KEYFUN05 LJMP KEYFUN06 LJMP KEYFUN07 LJMP KEYFUN08 LJMP KEYFUN09 LJMP KEYFUN10 LJMP KEYFUN11 LJMP KEYFUN12 LJMP KEYFUN13 LJMP KEYFUN14 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ LJMP KEYFUN15 ;跳至15号键功能程序 RET ;散转出错返回 ; ;键号对应P1k偶数值表(同时按下两键位无效操作) KEYTAB: DB 0EEH,0DEH,0BEH,7EH,0EDH,0DDH,0BDH,7DH DB 0EBH,0DBH,0BBH,0E7H,0D7H,0B7H,77H,0FFH,0FFH ; ;0号键功能程序 KEYFUN00: INC 29H ;百位数加1 MOV A,29H ;入A CLR C ;清进位标志 CJNE A,02H,FUN00 ; FUN00: JC FUN00OUT ;百位小于2转FUN00OUT FUN00OUT: MOV A,29H ;判断百位是0还是1 XRL A,#01H ; JNZ F00OUT1 ;若百位为0转F00OUT1 MOV 28H,#00H ;若百位位1,十位为0 AJMP F00OUT ; F00OUT1: MOV 28H,#08H ;若百位为0,十位数改为8 F00OUT: LCALL DISPUTDAT ;写入控制芯片 31 RET ; ;01号键功能程序 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ KEYFUN01: INC 28H ;十位数加1 MOV A,28H ;入A CLR C ;清进位标志 CJNE A,#0AH,FUN01 ;判断是否小于10 FUN01: JC MOV XRL JNZ MOV AJMP FUN01OUT: MOV XRL JNZ MOV AJMP F01OUT: MOV XRL JZ MOV XRL JZ MOV F001OUT: LCALL DISPUTDAT —————————————————————————————————————— ------------------------------------------------------------------------------------------------ RET ; ;02号键功能程序 KEYFUN02: INC MOV CLR CJNE FUN02: JC MOV FUN02OUT: LCALL DISPUTDAT RET ; ;03号键功能程序 KEYFUN03: INC MOV CLR CJNE FUN03: JC FUN01OUT ;十位数小于10转FUN01OUT 28H,#00H ;半段百位数试0不是1 A,#01H ; F01OUT ; 28H,#00H ;百位数位1时,十位数为0 F001OUT ; A,#29 ;判断百位数是0不是1 A,#01H ; F01OUT ; 28H,#00H ;百位是1时,十位数是0 F001OUT ; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ A,28H ;百位为0时,十位数只能是8或9 A,#08H ;判断是不是8 F001OUT ;十位数是8转F001OUT A,28H ; A,#09H ;判断是不是 9 F001OUT ;十位数是9转F001OUT 28H,#08H ;不是8也不是9, 十位赋值为8 ;写入控制芯片 ;返回 27H ;个位数加1 A,27H ; C ; A,#0AH,FUN02 ;判断是否小于10 FUN02OUT ;小 于10转FUN02OUT 27H,#00H ;大于或等于10清为0 ;写入控制芯 片 ; 26H ;个位加1 A,26H ; C ; A,#0AH,FUN03 ;判断事 发后小于10 FUN03OUT ;小于10转FUN03OUT 32 MOV 26H,#00H FUN03OUT: LCALL DISPUTDAT RET ; ; ;04号功能键程序(频率预置) KEYFUN04: MOV 29H,#01H ;大于或等于10清为0 ;写入控 制芯片 ;预置109.0MHz发射频率 MOV 28H,#00H MOV 27H,#09H MOV 26H,#00H LCALL DISPUTDAT RET ; ;05号功能键程序(频率预置) KEYFUN05: MOV 29H,#01H MOV 28H,#00H MOV 27H,#08H MOV 26H,#00H LCALL DISPUTDAT RET ; ;06号功能键程序(频率预置) KEYFUN06: MOV 29H,#01H MOV 28H,#00H MOV 27H,#05H MOV 26H,#00H LCALL DISPUTDAT RET ; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ;07号功能键程序(频率预置) KEYFUN07: MOV 29H,#01H MOV 28H,#00H MOV 27H,#00H MOV 26H,#00H LCALL DISPUTDAT RET ; ;08号功能键程序(频率预置) KEYFUN08: MOV 29H,#00H MOV 28H,#09H MOV 27H,#08H MOV 26H,#00H LCALL DISPUTDAT RET ; ;写入控制芯片 ;预置108.0MHz发射频率 ;写入控制芯片 ;预置105.0MHz发射频率 ;写入控制芯片 ;预置100.0MHz发射频率 ;写入控制芯片 ;预置98.0MHz发射频率 ;写入控制芯片 33 ;09号功能键程序(频率预置) KEYFUN09: MOV 29H,#01H MOV 28H,#09H MOV 27H,#06H MOV 26H,#00H LCALL DISPUTDAT ;预 置96.0MHz发射频率 ;写入控制芯片 RET ; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ;10号功能键程序(频率预置) KEYFUN10: MOV 29H,#00H MOV 28H,#09H MOV 27H,#04H MOV 26H,#00H LCALL DISPUTDAT RET ; ;11号功能键程序(频率预置) KEYFUN11: MOV 29H,#00H MOV 28H,#09H MOV 27H,#02H MOV 26H,#00H LCALL DISPUTDAT RET ; ;12号功能键程序(频率预置) KEYFUN12: MOV 29H,#00H MOV 28H,#09H MOV 27H,#00H MOV 26H,#00H LCALL DISPUTDAT RET ; ;13号功能键程序(频率预置) KEYFUN13: MOV 29H,#00H MOV 28H,#08H MOV 27H,#08H MOV 26H,#00H LCALL DISPUTDAT RET ; ;014号功能键程序(频率预置) KEYFUN14: MOV 29H,#00H MOV 28H,#08H MOV 27H,#00H ;预置94.0MHz发射频率 ;写入控制芯片 ;预置92.0MHz发射频率 ;写入控制芯片 ;预置90.0MHz发射频率 ;写入控制芯片 ;预置88.0Hz发射频率 ;写入控制芯片 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ;预置80MHz发射频率 34 MOV 26H,#00H LCALL DISPUTDAT ;写入控制芯片 RET ; ;15号键功能程序(立体声/单声道设置键) KEYFUN15: CPL 03H ;立体/单声标志取反 JNB 03H,MONO ;为0转单声道MONO CLR P3.3 ;为1开立体声只是灯 LCALL PUTBIT ;发送控制字至BH1415F RET MONO: SETB P3.3 ;关立体声指示灯 LCALL PUTBIT ;发送控制字至BH1415F RET ; ;将BCD码转为十六进制数,与5位控制码合成操作码,写入控 制芯片 DISPUTDAT: LCALL BCDB ;调BCD码转为十六进制数程序 LCALL CONCOMMAND ;调5为控制码合成操作码程序 LCALL PUTBIT ;发控制字至BH1415F RET ; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ;将BCD码转为十六进制数程序 BCDB: MOV CONBITL,#00H ;控制字清 MOV CONBITH,#00H ;控制字清 MOV CONBITL,26H ;小数位数放入控制子低8位 MOV A,27H ;个位数乘10操作 MOV B,#10 LCALL MULLOOP ;调乘法子程序 MOV ,28H ;十位数乘100财足以 MOV B,#100 LCALL MULLOOP ;调乘法子程序 MOV A,29H ; JNZ ADD3E8 ;百位数位1转ADD3E8(加1000操作) RET ;百位数为0退出 ADD3E8: CLR C ;清进位档标志 MOV A,#0E8H ;低8位加法 ADD A,CONBITL ;累加 MOV CONBITL,A ;放回CONBITL MOV A,03H ;高8位加法 ADDC A,CONBITH ;控制字高8位处理 RET ; ;乘法累加处理程序(将四位显示的十进制BCD码转位1个二进制—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 数) MULLOOP: MUL AB ;乘法 CLR C ;清进位标志 35 ADD A,CONBITL ;积低8位与CONBITL相加 MOV CONBITL,A ;放回CONBITL MOV A,CONBITH ; ADDC A,B ; 积高8位与CONBITH带进位累加 MOV CONBITH,A ;放回CONBITH RET ; ;频率控制数据与5位控制码合成BH1415F控制字 CONCOMMAND: ANL CONBITH,#07H ;高4位为0 MOV A,20H ;控制字放入A ORL A,CONBITH ;合成控制字 MOV CONBITH,A ;放回CONBITH RET ;;;;;;;;;;;;;;;;;;;;;;;;;;; ;显示程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;; ;共阳LED显示,P0口输出断码,P2口输出扫描字 DISPLAY: MOV R1,#26 ;显示首地址 MOV R5,#0FEH ;没扫描字 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ PLAY: MOV A,R5 ;放入A MOV P2,A ;P2口输出 MOV A,@R1 ;取显示数据 MOV DPTR,#TAB ;取段码首地址 MOVC A,@A+DPTR ;查段码 MOV P0,A ;从P0输出 MOV A,R5 ;读入扫描字 JB ACC.1,PLAY1 ;不试视为LED,不显示小数点 CLR P0.7 ;试十位,显示小数 PLAY1: LCALL DL1MS ;点亮1ms INC R1 ;指向下一显示数据 JNB ACC.3,ENDOUT ;是第4位LED,退出 RL A ;不是,左移一位 MOV R5,A ;放回R5 SETB P0.7 ;关小数点 AJMP PLAY ;转PLAY循环 ENDOUT: MOV P2,#0FFH ;显示结束,关显示输出口 MOV P0,#0FFH ; RET ; ;0~9共阳数码管表 TAB: DB —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH,0FFH ; ;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;发送控制字节子程序 36 ;;;;;;;;;;;;;;;;;;;;;;;;;;;; ; PUTBIT: MOV A,CONBITL ;低8位控制字入A SETB P3.2 ;BH1415F使能 LCALL PUT ;发送8位 MOV A,CONBITH ;高8位控制字入A LCALL PUT ;发送8位 CLR P3.2 ;BH1415F写禁止 CLR P3.0 ;复位 CLR P3.1 ;复位 RET ; ;字节发送子程序 PUT: MOV R3,#8 ;发送8位控制 CLR C ;清C PUT1: RRC A ;带进位位右移(先发低位) MOV P3.0,C ;地位送至P3.0口 NOP ;延时4us —————————————————————————————————————— ------------------------------------------------------------------------------------------------ NOP ; NOP ; NOP ; SETB P3.1 ;锁存数据(上升沿时锁存数据) NOP ; 延时4us NOP NOP NOP CLR P3.1 ; DJNZ R3,PUT1 ;8位未发完转PUT1再发 RET ;8位发完结束 ; ;513us 延时子程序 DL513: MOV R3,#0FFH DL513LOOP: DJNZ R3,DL513LOOP RET ; ;1ms延时子程序(LED点亮用) DL1MS: MOV R4,#02H DL1MSLOOP: LCALL DL513 DJNZ R4,DL1MSLOOP RET —————————————————————————————————————— ------------------------------------------------------------------------------------------------ ; ;10ms延时子程序(消抖用) DL10MS: MOV R6,#0AH DL10MSLOOP: LCALL DL1MS 37 ; ; END DJNZ R6,DL10MSLOOP RET ;程序结束 38 第4章:系统调试 4.1硬件调试 4.1.1硬件安装 对于一个新设计的电路板,调试起来往往会遇到一些困难,特别是当板比较大、元件比较多时,往往无从下手。但如果掌握好一套合理的调试方法,调试起来将会事半功倍。对于刚拿回来的新PCB板,我们首先要大概观察一下,板上是否存在问题,例如是否有明显的裂痕,有无短路、开路等现象。如果有必要的话,可以检查一下电源跟地线之间的电阻是否足够大。 然后就是安装元件了。相互独立的模块,如果您没有把握保证它们工作正常时,最好不要全部都装上,而是一部分一部分的装上(对于比较小的电路,可以一次全部装上),这样容易确定故障范围,免得到时遇到问题时,无从下手。一般来说,可以把电源部分先装好,—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 然后就上电检测电源输出电压是否正常。如果在上电时您没有太大的把握(即使有很大的把握,也建议您加上一个保险丝,以防万一),可考虑使用带限流功能的可调稳压电源。先预设好过流保护电流,然后将稳压电电源的电压值慢慢往上调,并监测输入电流、输入电压以及输出电压。如果往上调的过程中,没有出现过流保护等问题,且输出电压也达到了正常,则说明电源部分OK。反之,则要断开电源,寻找故障点,并重复上述步骤,直到电源正常为止。 接下来逐渐安装其它模块,每安装好一个模块,就上电测试一下,上电时也是按照上面的步骤,以避免因为设计错误或/和安装错误而导致过流而烧坏元件。 4.1.2寻找故障 寻找故障的办法一般有下面几种: ?测量电压法。首先要确认的是各芯片电源引脚的电压是否正常,其次检查各种参考电压是否正常,另外还有各点的工作电压是否正常等。例如,一般的硅三极管导通时,BE结电压在0.7V左右,而CE结电压则在0.3V左右或者更小。如果一个三极管的BE结电压大于0.7V(特殊三极管除外,例如达林顿管等),可能就是BE结就开路。 ?信号注入法。将信号源加至输入端,然后依次往后测量各点的波形,看是否正常,以找到故障点。有时我们也会用更简单的办法,例如用手握一个镊子,去碰触各级的输入端,看输出端是否有反应,这在音频、视频等放大电路中常使用(但要注意,热底板的电路或者电压高的电路,不能使用此法,否则可能会导致触电)。如果碰前一—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 级没有反应,而碰后一级有反应,则说明问题出在前一级,应重点检查。 ?当然,还有很多其它的寻找故障点的方法,例如看、听、闻、摸等。“看”就是看元件有无明显的机械损坏,例如破裂、烧黑、变形等;“听”就是听工作声音是否正常,例如一些不该响的东西在响,该响的地方不响或者声音不正常等;“闻”就是检查是否有异味,例如烧焦的味道、电容电解液的味道等,对于一个有经验的电子维修人员来说,对这些气味是很敏感的;“摸”就是用手去试探器件的温度是否正常,例如太热,或者太凉。一些功率器件,工作起来时会发热,如果摸上去是凉的,则基本上可以判断它没有工作起来。但如果不该热的地方热 39 了或者该热的地方太热了,那也是不行的。一般的功率三极管、稳压芯片等,工作在70度以下是完全没问题的。70度大概是怎样的一个概念呢,如果你将手压上去,可以坚持三秒钟以上,就说明温度大概在70度以下(注意要先试探性的去摸,千万别把手烫伤了)。 4.1.3 注意事项 实践表明,一个电子装置,即使按照设计的电路参数进行安装,往往也难于达到预期的效果。这是因为人们在设计时,不可能周全地考虑各种复杂的客观因素(如元件值的误差,器件参数的分散性,分布参数的影响等),必须通过安装后的测试和调整,来发现和纠正设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 的不足,然后采取措施加以改进,使装置达到预定的技术指标。因此,调试电子电路的技能对从事电子技术及其有关领域工作的人员—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 来说,是不应缺少的。 调试的常用仪器有:万用表、示波器和信号发生器等。 电子电路调试包括测试和调整两个方面。调试的意义是: 1、通调试使电子电路达到规定的指标; 2、调试发现设计中存在的缺陷予以纠正。 在大学生电子竞赛中,竞赛的选题往往有发挥部分,占50分,通过调试和修改设计电路,使电子电路满足发挥部分的要求,可争取更多的得分。从这个角度看,调试也是一个不断提高电子电路水平的过程。 一、电子电路调试的一般步骤 传统中医看病讲究“望、闻、问、切”,其实调试电路也是如此。首先“望”,要观察电路板的焊接如何,成熟的电子产品一般都是焊接出的问题;第二“闻”,呵呵,这个不是说先把电路板闻下,是说通电后听电路板是否有异常响动,不该叫的叫了,该叫的不叫;第三“问”,如果是自己第一次调,不是自己设计的要问电源是多少,别人是否调过,有什么问题,第四“切”,检查芯片是否插牢,有先不易观察的焊点是否焊好,一般调试前做好这几步就可发现不少问题。 根据电子电路的复杂程度,调试可分步进行: 对于较简单系统,调试步骤是:电源调试,单板调试,联调。 对于复杂的系统,调试步骤是:电源调试,单板调试,分机调试,主机调试,联调。 由此可明确三点: —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 1、不论简单系统还是复杂系统,调试都是从电源开始入手的; 2、调试方法一般是先局部( 单元 初级会计实务单元训练题天津单元检测卷六年级下册数学单元教学设计框架单元教学设计的基本步骤主题单元教学设计 电路)后整体,先静态后动态; 3、一般要经过测量——调整—一再测量——再调整的反复过程; 对于复杂的电子系统,调试也是一个“系统集成”的过程。 在单元电路调试完成的基础上,可进行系统联调。例如数据采集系统和控制系统,一般由模拟电路、数字电路和微处理器电路构成,调试时常把这3部分电路分开调试,分别达到设计指标后,再加进接口电路进行总调。联调是对总电路的性能指标进行测试和调整,若不符合设计要求,应仔细分析原因,找出相应的单元进行调整。不排除要调整多个单元的参数或调整多次,甚至要修正方案的可能。 二、电子电路的调试具体步骤: 1、通电观察:通电后不要急于测量电气指标,而要观察电路有无异常现象,例如有无冒烟现象,有无异常气味,手摸集成电路外封装,是否发烫等。如果出现异常现象,应立即关断电源,待排除故障后再通电。 40 2、静态调试:静态调试一般是指在不加输入信号,或只加固定的电平信号的条件下所进行的直流测试,可用万用表测出电路中各点的电位,通过和理论估算值比较,结合电路原理的分析,判断电路直流工作状态是否正常,及时发现电路中已损坏或处于临界工作状态的元器件。通过更换器件或调整电路参数,使电路直流工作状态符合设计要求。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 3、动态调试:动态调试是在静态调试的基础上进行的,在电路的输入端加入合适的信号,按信号的流向,顺序检测各测试点的输出信号,若发现不正常现象,应分析其原因,并排除故障,再进行调试,直到满足要求。 测试过程中不能凭感觉和印象,要始终借助仪器观察。使用示波器时,最好把示波器的信号输入方式置于“DC”挡,通过直流耦合方式,可同时观察被测信号的交、直流成分。 通过调试,最后检查功能块和整机的各种指标(如信号的幅值、波形形状、相位关系、增益、输入阻抗和输出阻抗等)是否满足设计要求,如必要,再进一步对电路参数提出合理的修正。 三、 电子电路调试的若干问题 1、根据待调系统的工作原理拟定调试步骤和测量方法,确定测试点,并在图纸上和板子上标出位置,画出调试数据 记录 混凝土 养护记录下载土方回填监理旁站记录免费下载集备记录下载集备记录下载集备记录下载 表格等。 2、搭设调试工作台,工作台配备所需的调试仪器,仪器的摆设应操作方便,便于观察。学生往往不注意这个问题,在制作或调机时工作台很乱,工具、书本、衣物等与仪器混放在一起,这样会影响调试。特别提示:在制作和调试时,一定要把工作台布置的干净、整洁。这便是“磨刀不误砍柴工” 3、对于硬件电路,应视被调系统选择测量仪表,测量仪表的精度应优于被测系统;对于软件调试,则应配备微机和开发装置。 4、电子电路的调试顺序一般按信号流向进行,将前面调试过的电路输出信号作为后一级的输入信号,为最后统调创造条件。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 5、选用可编程逻辑器件实现的数字电路,应完成可编程逻辑器件源文件的输入、调试与下载,并将可编程逻辑器件和模拟电路连接成系统,进行总体调试和结果测试。 6、在调试过程中,要认真观察和分析实验现象,做好记录,保证实验数据的完整可靠 4.2软件调试 程序调试分以下,几步进行: 第一步,用编辑程序把编制的源程序按照一定的书写格式送到计算机中,编辑程序会根据使用人员的意图对源程序进行增、删或修改。 第二步,把送入的源程序翻译成机器语言,即用编译程序对源程序进行语法检查并将符合语法规则的源程序语句翻译成计算机能识别的“语言”。如果经编译程序检查,发现有语法错误,那就必须用编辑程序来修改源程序中的语法错误,然后再编译,直至没有语法错误为止。 第三步,使用计算机中的连接程序,把翻译好的计算机语言程序连接起来,并扶植成一个计算机能真正运行的程序。在连接过程中,一般不会出现连接错误,如果出现了连接错误,说明源程序中存在子程序的调用混乱或参数传递错误等问题。这时又要用编辑程序对源程序进行修改,再进行编译和连接,如此反复进行,直至没有连接错误为止。 41 第四步,将修改后的程序进行试算,这时可以假设几个模拟数据—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 去试运行,并把输出结果与手工处理的正确结果相比较。如有差异,就表明计算机的程序存在有逻辑错误。如果程序不大,可以用人工方法去模拟计算机对源程序的这几个数据进行修改处理;如果程序比较大,人工模拟显然行不通,这时只能将计算机设置成单步执行的方式,一步步跟踪程序的运行。一旦找到问题所在,仍然要用编辑程序来修改源程序,接着仍要编译、连接和执行,直至无逻辑错误为止。 42 结论 使用BH1415F设计的小功率调频发射器不仅设计简单方便,而且体积小,可靠性高,频率设定灵活,可有效德避开当地或邻近的调频台干扰,并且非常适合与放音机、CD、电脑等媒体播放器集成,可制成无线音响,耳机,还可广泛应用于学校教师内小范围的音频无线调频转播。因为其工作频率从变化较宽,其功率放大级的选频回路带通应较宽,与市场的单点调频发射器相比,发射功率不是很高。 本文设计的数控调频发射器以BH1415F单片数字立体声调制芯片核心,较好的实现了各项基本指标。使用3.5mm耳机插座及数码管显示的人性化功能。该系统电路实用,设计更加合理化、微型化、人性化。 总之通过这次的毕业设计,让我深刻的体会到,理论联系实践,培养自学能力跟动手能力是很重要的,利用网络资源,查找相关的资料,把其转化为自己有用的知识,这样能更好的懂得和应用。这次的设计,由于时间较短,很多地方都还不是很完善,不过,在今后的工作中,我会更加的严格要求自己,努力做到最好。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 43 致谢语 本论文是在陈贤章、郑华丽老师精心指导和大力支持下完成的。陈贤章、郑华丽老师以其严谨求实的治学态度、高度的敬业精神、兢兢业业、孜孜以求的工作作风和大胆创新的进取精神对我产生重要影响。他们渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。同时,在此次毕业设计过程中我也学到了许多了关于单片机基于BH1415调频发射方面的知识,使我对这方面的知识得到很大的提高。 另外,我还要特别感谢黄光瑜先生对我论文写作的指导,他为我完成这篇论文提供了巨大的帮助。在每个阶段,都为我提供宝贵的 意见 文理分科指导河道管理范围浙江建筑工程概算定额教材专家评审意见党员教师互相批评意见 ,使我得以顺利完成论文。同时,也要感谢同组的同学们,在这期间对我的帮助和关心,在此我也衷心的感谢他们。 最后,再次对关心、帮助我的老师和同学表示衷心地感谢~ 44 参考文献 [1] 石文华 原理及应用,中国电力出版社2005年 [2]ROHM CO.,LTD 2000. —BH1415F.pdf [3]Atmel Corporation 1999. —AT89C52.pdf [4]楼兰苗,李光飞,51系列单片机设计实例,北京航空航天大学出版社,2003年 45 ——————————————————————————————————————
本文档为【数控调频发射器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_314871
暂无简介~
格式:doc
大小:98KB
软件:Word
页数:52
分类:互联网
上传时间:2017-11-27
浏览量:68