首页 VHDL语言带同步置位复位的D触发器

VHDL语言带同步置位复位的D触发器

举报
开通vip

VHDL语言带同步置位复位的D触发器本文档如对你有帮助,请帮忙下载支持!VHDL语言编写的带同步置位/复位的D触发器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytrigger_disport(clk,d,sreset,sset:instd_logic;--同步复位端sreset,同步置位端ssetq,qf:outstd_logic);endentity;architectureartoftrigger_disbeginprocess(clk,...

VHDL语言带同步置位复位的D触发器
本文档如对你有帮助,请帮忙下载支持!VHDL语言编写的带同步置位/复位的D触发器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytrigger_disport(clk,d,sreset,sset:instd_logic;--同步复位端sreset,同步置位端ssetq,qf:outstd_logic);endentity;architectureartoftrigger_disbeginprocess(clk,d,sreset,sset)beginifclk'eventandclk='1'thenifsreset='1'thenq<='0';qf<='1';--同步复位端sreset,高电平有效,复位elsifsset='1'thenq<='1';qf<='0';--同步置位端sset,高电平有效,置位elseq<=d;qf<=not(d);endif;endif;endprocess;本文档如对你有帮助,请帮忙下载支持!endarchitectureart;
本文档为【VHDL语言带同步置位复位的D触发器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
is_601737
暂无简介~
格式:doc
大小:14KB
软件:Word
页数:0
分类:生活休闲
上传时间:2021-10-24
浏览量:11