首页 毕设中期答辩模板PPT课件

毕设中期答辩模板PPT课件

举报
开通vip

毕设中期答辩模板PPT课件燕山大学本科毕业设计基于FPGA的电梯控制系统设计 学院(系):里仁学院电子工程系 专业班级:电子科学与技术08-1班 学号:081308061023 姓名:王爽 指导老师:常丹华老师 2012年05月14日论文研究工作经过选题、开题到现在已经完成了以下面的工作(1)通过查阅大量资料对选题有了更加深入理解和更加清晰的思路(2)对软件MAXPLUS2的熟悉操作(3)用VHDL语言对电梯系统主控完成编译(4)完成了对电梯系统主控的仿真选题研究进展控制器的设计方案控制器的功能模块,包括主控制器、分控制器、楼层选择器、状态...

毕设中期答辩模板PPT课件
燕山大学本科毕业 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 基于FPGA的电梯控制系统设计 学院(系):里仁学院电子工程系 专业班级:电子科学与技术08-1班 学号:081308061023 姓名:王爽 指导老师:常丹华老师 2012年05月14日论文研究工作经过选 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 、开题到现在已经完成了以下面的工作(1)通过查阅大量资料对选题有了更加深入理解和更加清晰的思路(2)对软件MAXPLUS2的熟悉操作(3)用VHDL语言对电梯系统主控完成编译(4)完成了对电梯系统主控的仿真选题研究进展控制器的设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 控制器的功能模块,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。选题当前研究的成果选题当前研究的成果 四层电梯控制器的实体设计 首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求,四层是最高层,不需要有上升请求,二、三层则上升、下降请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电梯时钟输入端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。选题当前研究的成果其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑‘l’。被响应以后则恢复逻辑‘O’;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。选题当前研究的成果四层电梯控制器的结构体设计首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(waitl)、开门等待第2秒(wait2)、开门等待第3秒(wait3)、开门等待第4秒(wait4)、上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。选题当前研究的成果typestate_typeis(stopon1,dooropen,doorclose,wait1,wait2,wait3,wait4,up,down,stop);signalstate:state_type:=stopon1;signalclearup,cleardn,buttclk,fliclk:std_logic;signalq:std_logic_vector(4downto0);选题当前研究的成果四层电梯控制器VHDL设计四层电梯控制器的VHDL描述模块 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 图选题当前研究的成果 本程序设计调用了IEEE库,IEEE库是VHDL设计中最为常用的库,它包含有IEEE 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的程序包和其他一些支持工业标准的程序包。 libraryieee;useieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; (2)以关键词ENTITY引导,ENDENTITYflift结尾的语句部分,成为实体。VHDL的实体描述了电路器件的外部情况及各信号端口的基本性质。本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示、电梯的请求。端口模式主要就是IN、BUFFER、OUT端口。及定义了各端口信号的数据类型,主要是STD_LOGIC(标准逻辑位数据类型)、INTEGER(整数类型)、STD_LOGIC_VECTOR(标准逻辑矢量数据类型)。这些都满足上面调用的IEEE库中的程序包。选题当前研究的成果 entityfliftis port(clk,reset,up1,up2,up3,down2,down3,down4,stop1,stop2,stop3,stop4:instd_logic; uplight,downlight,stoplight:bufferstd_logic_vector(4downto1); udsig:bufferstd_logic; position:bufferintegerrange1to4; doorlight:outstd_logic); endflift; (3)以关键词ARCHITECTURE引导,ENDARCHITECTUREbehav结尾的语句部分,称为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了lO个状态。描述了在三层电梯中出现的各种可能的情况作为控制电梯的主要进程。选题当前研究的成果选题当前研究的成果电梯主控制器模块选题当前研究的成果主控制器波形图首先对主控功能进行完善,然后对分控制器及其他部分的功能进行编译、调试,完成选题的所有功能。选题下一步任务谢谢各位老师请提出宝贵意见!
本文档为【毕设中期答辩模板PPT课件】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥16.8 已有0 人下载
最新资料
资料动态
专题动态
is_597436
暂无简介~
格式:ppt
大小:409KB
软件:PowerPoint
页数:0
分类:
上传时间:2019-07-18
浏览量:146