首页 最新EDA试题库资料

最新EDA试题库资料

举报
开通vip

最新EDA试题库资料精品文档精品文档EDA试题库建设[70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。]基础题部分填空题(140空)1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此...

最新EDA试题库资料
精品文档精品文档EDA试题库建设[70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分), 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 题2题(每题10分),设计题2题(每题10分)。]基础题部分填空题(140空)1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。6•以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。8•在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 目录。9、VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。10、常用EDA的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。11、在VHDL程序中,(实体)和(结构体)是两个必须的基本部分。12、将硬件描述语言转化为硬件电路的重要工具软件称为(HDL综合器)。13、VHDL的数据对象分为(常量)、(变量)和(信号)3类。14、VHDL的操作符包括(算术运算符)和(符号运算符)。15、常用硬件描述语言有(VerilogHDL)、(AHDL)以及(VHDL)。16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。17、VHDL同或逻辑操作符是(XNOR)。18、原理图文件类型后缀名是(.GDF),VerilogHDL语言文本文件类型的后缀名是(.V)。19、十六进制数16#E#E1对应的十进制数值是(224)。20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。21、VHDL不等于关系运算符是(/=)。22、STD_LOGIC_1164程序包是(IEEE)库中最常用的程序包。23、文本输入是指采用(硬件描述语言)进行电路设计的方式。24、当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。25•采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(blockdiagram/Schematic)命令方式。若在MAX+plusll集成环境下,执行文本输入设计方法,应选择(.vhd)方式。32.\maxplus2\max2lib\prim是MAX+plusll(基本)元件库,其中包括(门电路)、(触发器)、(电源)、(输入)、(输出)等元件。\maxplus2\max2lib\mf是函数元件库,包括(加法器)、(编码器)、(译码器)、(数据选择器数据)、(移位寄存器)等74系列器件。图形文件设计结束后一定要通过(编译),检查设计文件是否正确。在MAX+plusll集成环境下可以执行(生成元件)命令,为通过编译的图形文件产生一个元件符号。这个元件符号可以被用于其他的图形文件设计,以实现(多层次)的系统电路设计。执行MAX+p1usIl的“TimlngAnalyzer命令,可以设计电路输入与输出波形间的(延时量)。指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为(端口映射)。MAX+plusll的波形文件类型是(.swf)。层次化设计是将一个大的设计项目分解为若干个(子项目)或者若干个(层次)来完成的。先从(顶层)的电路设计开始,然后在(顶层)的设计中逐级调用(底层)的设计结果,直至实现系统电路的设计。一个项目的输入输出端口是定义在(实体中)中。描述项目具有逻辑功能的是(结构体)。TOC\o"1-5"\h\z关键字ARCHlTECTURE定义的是(结构体)。1987标准的VHDL语言对大小写(不敏感)。关于1987标准的VHDL语言中,标识符必须以(英文字母)开头。VHDL语言中变量定义的位置是(结构体中特定位置)。VHDL语言中信号定义的位置是(结构体中特定位置)。变量赋值号是(:=),信号赋值号是(<=)。lF语句属于(顺序)语句。LOOP语句属于(顺序)语句。PROCESS语句属于(并行)语句。CASE语句属于(顺序)语句。EDA的中文含义是(电子设计自动化)。可编程逻辑器件的英文简称是(PLD)。现场可编程门阵列的英文简称是(FPGA)。在EDA中,lSP的中文含义是(在系统编程)。EPF10K20TC144-4具有(144)个管脚。MAXPLUSll中原理图的后缀是(.GDF)。VHDL语言共支持四种常用库,其中(WORK)库是用户的VHDL设计现行工作库。在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为(综合器)。在VHDL的CASE语句中,条件句中的“=>不”是操作符号,它只相当与(THEN)作用。assign—>pin/locationchip命令是MAXPLUSll软件中(引脚锁定)的命令。在VHDL中,可以用语句(clock'eventandclock=)表示检测clock下降沿。在VHDL中,语句”FORllN0TO7LOOP定义”循环次数为(8)次。在VHDL中,PROCESS结构内部是由(顺序)语句组成的。执行MAX+PLUSII的(Simulator)命令,可以对设计的电路进行仿真。执行MAX+PLUSII的(Compiler)命令,可以对设计的电路进行编译。执行MAX+PLUSII的(Programmer)命令,可以对设计的电路进行下载。在VHDL中,PROCESS本身是(并行)语句。在元件例化语句中,用(=>)符号实现名称映射,将例化元件端口声明语句中的信号与PORTMAP中的信号名关联起来。在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是(被高层次电路设计调用)。在MAX+PLUSII工具软件中,完成网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为(综合)。在VHDL中,IF语句中至少应有1个条件句,条件句必须由(BOOLEAN)表达式构成。在VHDL中(变量)不能将信息带出对它定义的当前设计单元。在VHDL中,一个设计实体可以拥有一个或多个(结构体)。在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有(9)种逻辑值。在VHDL中,用语句(clock'EVENTANDclock='1')表示clock的上升沿。仿真是对电路设计的一种(间接的)检测方法。QuartusII中建立设计项目的菜单是(“File”宀"NewProjectWizard”79执行QuartusII的(Create/Update/CreateSymbolFilesforCurrentFile)命令,可以为设计电路建立一个元件符号。使用QuartusII的图形编辑方式输入的电路原理图文件必须通过(编译)才能进行仿真验证.QuartusII的波形文件当中设置仿真时间的命令是(Edit/TimeBar)。完整的IF语句,其综合结果可实现(组合逻辑电路)。描述项目具有逻辑功能的是(结构体)。protel原理图设计时,按下(Q)键可实现英制和公制的转换。在VHDL语言的程序中,注释使用(--)符号。protel原理图设计时,按下(E+M+M键)快捷键可实现“移动功能”。在放置元器件的过程按下(TAB)键可以调出元件属性对话框。40mil大约等于(0.001)m。A、B、0.001cmC、0.001inchD、0.001mm通常所说的几层板指的是(钻孔图层)的层数。执行(AlignTop)命令操作,元器件按顶端对齐。91•执行(AlignBottom)命令操作,元器件按底端对齐.执行(AlignLeft)命令操作,元器件按左端对齐.执行(AlignRight)命令操作,元气件按右端对齐.原理图设计时,实现连接导线应选择(Place/Wire)命令.要打开原理图编辑器,应执行(Schematic)菜单命令•进行原理图设计,必须启动(Schematic)编辑器。使用计算机键盘上的(PageDown)键可实现原理图图样的缩小。往原理图图样上放置元器件前必须先(装载元器件库)。执行(Tools/Preferences)命令,即可弹出PCB系统参数设置对话框。在印制电路板的(KeepOutLayer)层画出的封闭多边形,用于定义印制电路板形状及尺寸。印制电路板的(SilkscreenLayers)层主要用于绘制元器件外形轮廓以及标识元器件标号等。该类层共有两层。在放置元器件封装过程中,按(Y)键使元器件封装旋转。在放置元器件封装过程中,按(X)键使元器件在水平方向左右翻转。在放置元器件封装过程中,按(Y)键使元器件在竖直方向上下翻转。在放置元器件封装过程中,按(L)键使元器件封装从顶层移到底层。在放置导线过程中,可以按(BackSpace)键来取消前段导线。在放置导线过程中,可以按(Shift+Space)键来切换布线模式。执行(CenterHorizontal)命令操作,元器件按水平中心线对齐。MAX+plusII支持原理图、(VHDL)、(Verilog)语言及以波形与EDIF等格式的文件,并支持混合设计、(功能)仿真和(时序)仿真。结构体是用于描述设计实体的(内部结构)以及实体端口间的(逻辑关系),它不能单独存在,必须有一个界面说明即(实体)。对具有多个结构体的实体,必须用(CONFIGURATION配置)语句指明用于综合的结构体和用于仿真的结构体。111•由(已定义的)、(数据类型不同)的对象元素构成的(数组)称为记录类型的对象。(共计140空)选择题(140题)关于EDA技术的设计流程,下列顺序正确的是(A)A原理图/HDL文本输入t功能仿真t综合t适配t编程下载t硬件测试B原理图/HDL文本输入t适配t综合t功能仿真t编程下载t硬件测试;C原理图/HDL文本输入t功能仿真t综合t编程下载t适配硬件测试;D原理图/HDL文本输入t功能仿真t适配t编程下载t综合t硬件测试对利用原理图输入设计方法进行数字电路系统设计,下面说法是不正确的(C)A原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B原理图输入设计方法一般是一种自底向上的设计方法;C原理图输入设计方法无法对电路进行功能描述;D原理图输入设计方法也可进行层次化设计。QuartusII的设计文件不能直接保存在(B)。A系统默认路径B硬盘根目录C项目文件夹D用户自定义工程目录使用QuartusII工具软件建立仿真文件,应采用(D)方式.图形编辑E.文本编辑C.符号编辑D.波形编辑建立设计项目的菜单是(C).A.aFile-”“New“Project”“NewProjectWizardC.aFile-”“NewProjectWizard”在QuartusII集成环境下为图形文件产生一个元件符号的主要用途是(D).仿真E.编译C.综合D.被高层次电路设计调用仿真是对电路设计的一种(B)检测方法.A.直接的E.间接的C.同步的D.异步的B.StartSimulation&执行QuartusII的(B)命令,可以对设计电路进行功能仿真或者时序仿真.A.CreateDefaultSymbolD.TimingAnalyzer).D..vC.Compiler9.QuartusII的图形设计文件类型是(BA..scfB..bdfC..vhd10.QuartusII是(C)A.高级语言B.硬件描述语言11.使用QuartusII工具软件实现原理图设计输入,A.模块/原理图文件B.文本编辑EDA工具软件应采用(AC.符号编辑D.综合软件)方式.D.波形编辑VHDL程序称为(C).一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的C.设计实体D.设计结构标准库.C.WORKD.PACKAGE(A)声明端口为输入方向.12.A.设计输入B.设计输出VHDL常用的库是(A)IEEEB.STD在VHDL的端口声明语句中,用13.A.14.A.实体进程B.结构体进程C.进程进程D.结构体结构体22.在VHDL中,目标变量的赋值符号是(C)A.=:B.=C.:=D.<=23.在VHDL中,目标信号的赋值符号是(D).A.=:B.=C.:=D.<=24.在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部变量,(A.必须B.不必C.其类型要D.其属性要25.在VHDL的并行语句之前,可以用(C)来传送往来信息.A.变量B.变量和信号C.信号D.常量26.在VHDL中,PROCESS结构是由(A)语句组成的.A.顺序B.顺序和并行C.并行D.任何中定义,变量必须在21.明.中定义.(B)B)事先声A.INB.OUTC.INOUTD.BUFFER15.在VHDL的端口声明语句中,用(B)声明端口为输出方向.A.INB.OUTC.INOUTD.BUFFER16.在VHDL的端口声明语句中,用(C)声明端口为双向方向.A.INB.OUTC.INOUTD.BUFFER17.在VHDL的端口声明语句中,用(D)声明端口为具有读功能的输出方向A.INB.OUTC.INOUTD.BUFFER18.在VHDL标识符命名规则中,以(A)开头的标识符是正确的.A.字母B.数字C.汉字D.下划线19.在下列标识符中,(C)是VHDL合法标识符.A.4h_addeB.h_adde4_C.h_adder_4D.__h_adde20.在下列标识符中,(A)是VHDL错误的标识符.A.4haddeB.hadde4C.hadder4D.haddeVHDL程序中的中间信号必须在在VHDLA.并行兼顺序在元件例化(WHEN_ELSE属于C.并行COMPONENT)语句中,用(名与PORTMAP()中的信号名关联起来.中,条件信号赋值语句E.顺序C)语句.D.任意符号实现名称映射,将例化元件端口声明语句中的信号A.=B.:=C.V=D.=>把上边的英文缩略语和下边的中文意思对应起来。(4)CPLD(5)ASIC(1)EDA_(2)FPGA(3)SOC(6)SRAM_(7)ISP(8)a片上系统c现场可编程门阵列e在系统可编程g边界扫描测试技术i电子设计自动化一个项目的输入输出端口是定义在VHDL(9)BST(10)IEEEb复杂可编程逻辑器件d静态随机存取存储器f超高速硬件描述语言h美国电子工程师协会j专用集成电A。实体中B.结构体中C.任何位置D.进程体描述项目具有逻辑功能的是B。实体B.结构体C.配置D.进程关键字ARCHITECTURE定义的是A结构体B.进程C.实体D.配置33.1987标准的VHDL语言对大小写是D。敏感的B.只能用小写C.只能用大写D.不敏感34.关于1987标准的VHDL语言中,标识符描述正确的是A必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以35.符合1987VHDL标准的标识符是A。A.a_2_3B.a2C.2_2_aD.2a36.不符合1987VHDL标准的标识符是C。A.a_1_inB.a_in_2C.2_aD.asd_1TOC\o"1-5"\h\z37.变量和信号的描述正确的是A。变量赋值号是:=B.信号赋值号是:=C.变量赋值号是<=D.二者没有区别38.下面数据中属于实数的是A。A.4.2B.3C.‘1'D.“11011”STD_LOGIG_1164中定义的高阻是字符D。A.XB.xC.zD.Z39.STD_LOGIG_1164中字符H定义的是A.弱信号1弱信号0C.没有这个定义D.初始值40.如果a=1,b=0,则逻辑表达式(A.0B.1C.2aANDb)OR(NOTbANDa)的值是D.不确定41.不属于顺序语句的是CA.IF语句B.LOOP语句C.PROCESS语句42.EDA的中文含义是A。A.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造D.CASE语句43.可编程逻辑器件的英文简称是D。A.FPGAB.PLAC.PALD.PLD44.现场可编程门阵列的英文简称是A。A.FPGAB.PLAC.PALD.PLD45.在EDA中,IP的中文含义是D。A.网络供应商B.在系统编程C.没有特定意义46.EPF10K30TC144-4具有多少个管脚A。A.144个B.84个C.15个D.不确定QuartusII是哪个公司的软件A。A.ALTERAB.ATMELC.LATTICED.XILINXD.知识产权核47.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:DA.IEEE库B.VITAL库C.STD库D.WORK工作库下列语句中,不属于并行语句的是:B。A.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句下列关于变量的说法正确的是A。变量是一个局部量,它只能在进程和子程序中使用。变量的赋值不是立即发生的,它需要有一个3延时。在进程的敏感信号表中,既可以使用信号,也可以使用变量。变量赋值的一般表达式为:目标变量名<=表达式。VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述A•器件外部特性B•器件的内部功能C•器件的综合约束E.器件外部特性与内部功能在VHDL中,为定义的信号赋初值,应该使用C符号。A.=:B.=C.:=D.<=在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用B表示的。小写字母和数字B.大写字母数字C.大或小写字母和数字D.全部是数字在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有C种逻辑值。A.2B.3C.9D.8在VHDL中,条件信号赋值语句WHEN_ELSE属于C语句。A.并行和顺序B.顺序C.并行D.不存在的55•在QuartusII中,新建时序波形文件时应选择DEditorfile(B)(C)TextEditorfile描述项目具有逻辑功能的是A.实体B.结构体GraphicEditorfile(D)VectorwaveformfileB。C.配置D.进程关键字ARCHITECTURE定义的是A.结构体B.进程C.实体D.配置1987标准的VHDL语言对大小写是D。A.敏感的B.只能用小写C.只能用大写关于1987标准的VHDL语言中,标识符描述正确的是D.不敏感A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以关于1987标准的VHDL语言中,标识符描述正确的是BA.下划线可以连用B.下划线不能连用C.不能使用下划线D.可以使用任何字符TOC\o"1-5"\h\z符合1987VHDL标准的标识符是A。D.22D.2aA.A_2B.A+2C.2A符合1987VHDL标准的标识符是A。A.a_2_3B.a2C.2_2_a不符合1987VHDL标准的标识符是D_A.a2b2B.a1b1C.ad12D.%50VHDL语言中变量定义的位置是D。A.实体中中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置VHDL语言中信号定义的位置是D。A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置变量和信号的描述正确的是A。A.变量赋值号是:=B.信号赋值号是:=C.变量赋值号是<=D.二者没有区别变量和信号的描述正确的是B。A.变量可以带出进程B.信号可以带出进程C.信号不能带出进程D.二者没有区别关于VHDL数据类型,正确的是。A.用户不能定义子类型B.用户可以定义子类型C.用户可以定义任何类型的数据D.前面三个答案都是错误的可以不必声明而直接引用的数据类型是—C。A.STD_LOGICB.STD_LOGIC_VECTORC.BITD.前面三个答案都是错误的使用STD_LOGIG_1164使用的数据类型时C.必须在实体中声明D.必须在结构体中声明D.前面的都不正确A.可以直接调用B.必须在库和包集合中声明TOC\o"1-5"\h\z正确给变量X赋值的语句是BA.X<=A+B;B.X:=A+b;C.X=A+B;下列语句中,不属于并行语句的是:_A.进程语句B.CASE语句C.元件例化语句D.WHEN…ELSE…语句关于VHDL中的数字,请找出以下数字中数值最小的一个:A.2#1111_1110#B.8#276#C.10#170#D.16#E#E1关于VHDL中的数字,请找出以下数字中最大的一个:。A.2#1111_1110#B.8#276#C.0#170#D.6#E#E175•下列标识符中,B是不合法的标识符。A.StateOB.9moonC.Not_Ack_0D.signal在VHDL语言描述中。定义数据类型通常采用的关键词是(C)(A)signal(B)variable(C)type(D)set在VHDL语言的程序中,注释使用以下的哪一种符号?(B)(A)II(B)--(C);(D)__关于元件例化的描述中,正确的有(B)元件例化根据例化语句中所定义的例化元件端口名和当前系统的连接实体端口名的接口表达方式来说,有两种方式:1)名字关联方式2)功能关联方式元件例化根据例化语句中所定义的例化元件端口名和当前系统的连接实体端口名的接口表达方式来说,有两种方式:1)名字关联方式2)位置关联方式在位置关联方式的例化语句中,表达式的位置可以互换为了方便书写程序,元件例化名可以省略一个进程中允许描述对应于时钟信号的同步时序逻辑(A)(A)一个(B)两个(C)三个(D)多个在以下4种语言中属于硬件描述语言的是(A)(A)VHDL(B)VC(C)VB(D)DelphiProtel99SE是用于(B)的设计软件。A电气工程B电子线路C机械工程D建筑工程Protel99SE原理图设计工具栏共有(C)个。A.5B.6C.7D.8执行(B)命令操作,元器件按垂直均匀分布。A.VerticallyB.DistributeVerticallyC.CenterVerticallyD.Distribute执行(D)命令操作,元器件按底端对齐.A.AlignRightB.AlignTopC.AlignLeftD.AlignBottom执行(A)命令操作,元器件按右端对齐.A.AlignRightB.AlignTopC.AlignLeftD.AlignBottom原理图设计时,实现连接导线应选择(B)命令.A.Place/LineB.Place/WireC.WireD.Line进行原理图设计,必须启动(B)编辑器。A.PCBB.SchematicCSchematicLibraryD.PCBLibrary往原理图图样上放置元器件前必须先(B)。A.打开浏览器B.装载元器件库C.打开PCB编辑器D.创建设计数据库文件仿真库Fuse.lib中包含了一般的熔丝元器件,Designator指的是熔丝的(A)。A.名称B.电流C.阻抗D.不清楚网络表中有关网络的定义是(A.以“[开”始,以“]结”束C.以“(”开始,以“)”结束执行(B)命令,即可弹出A.Design/BordOptionsC.Options在放置导线过程中,可以按(C)。以“〈”开始,以“〉”结束D.以“{开”始,以“}结”束PCB系统参数设置对话框。Tools/PreferencesD.PreferencesA)键来取消前段导线。A.BackSpaceB.EnterC.ShiftD.TabProtel99SE提供了(B)层为内部电源/接地层。A.2B.16C.32D.8印制电路板的(A.KeepOutLayerMechanicalLayers在放置元器件封装过程中,按(A.XB.YC.L在放置元器件封装过程中,按(A.XB.YC.LB)层主要是作为说明使用。TopOverlayMultiLayerD)键使元器件封装旋转。D.空格键B)键使元器件在竖直方向上下翻转。D.空格键在放置导线过程中,可以按(C)键来切换布线模式。A.BackSpaceB.EnterC.Shift+SpaceD.TabTOC\o"1-5"\h\zProtel99SE为PCB编辑器提供的设计规则共分为(D)类。A.8B.10C.12D.699.Protel99SE原理图文件的格式为(C)。A.SchlibB.SchDocC.SchD.Sdf执行(C)命令操作,元器件按水平中心线对齐。A.CenterB.DistributeHorizontallyCenterHorizontalD.Horizontal执行(B)命令操作,元器件按顶端对齐。A.AlignRightB.AlignTopC.AlignLeftD.AlignBottom执行(C)命令操作,元器件按左端对齐.A.AlignRightB.AlignTopC.AlignLeftD.AlignBottom原理图设计时,按下A.回车键B.空格键(B)可使元器件旋转90°。X键D.Y键要打开原理图编辑器,应执行(C)菜单命令.进行原理图设计,必须启动(A.PCBB.Schematic网络表中有关元器件的定义是A.以“[开”始,以“]结”束D.SchematicLibraryA.PCBProjectB.PCBC.SchematicB)编辑器。CSchematicLibraryD.PCBLibraryA)。以“〈”开始,以“〉”结束C.以“(”开始,以“)”结束107.PCB的布局是指(B)。A.连线排列C•元器件与连线排列108.Protel99SE提供了多达(A.2B.16D.以“{开”始,以“}结”束B.元器件的排列D.除元器件与连线以外的实体排列C)层为铜膜信号层。C.32D.8在印制电路板的(B)层画出的封闭多边形,用于定义印制电路板形状及尺寸。A.MultiLayerB.MechanicalLayersC.TopOverlayD.Bottomoverlay印制电路板的(B)层主要用于绘制元器件外形轮廓以及标识元器件标号等。该类层共有两层。A.KeepOutLayerC.MechanicalLayersB.SilkscreenLayersD.MultiLayer在放置元器件封装过程中,按(A.XB.YC.L在放置元器件封装过程中,按(A.XB.YC.L在放置导线过程中,可以按(A)键使元器件在水平方向左右翻转。D.空格键C)键使元器件封装从顶层移到底层。D.空格键C)键来切换布线模式。A.BackSpaceB.EnterC.Shift+SpaceD.Tab114.Protel99SE为PCB编辑器提供的设计规则共分为(A.8B.10C.12D.6115.原理图设计窗口顶部为主菜单和主工具栏,左部为(A.设计管理器B.底部为状态栏C•常用工具栏116.网络表的内容主要由两部分组成:元器件描述和(A.网络连接描述B.元器件编号C•元器件名称117.工作层中的信号板层(SignalLayers)包括底层、A.内部电源/地线层B.其它工作层C•机械板层118.Protel99SE可以直接创建一个(A)文件。A.*.DDBB.*.LibC.*.PCBD.*.Sch119.原理图可以生成各种类型的报表,生成各种报表的命令都在(C.EditD.Help120.原理图文件的扩展名是(A.SchB.ERCC.PCB121.设计电路板文件的扩展名是(A.SchB.ERCC.PCB122.创建元器件封装库文件的扩展名是A.SchB.LibC.PCBD)类。A)。D.命令栏A)。D.元器件封装中间层和(DD.顶层)。A)菜单中。A.ReportsB.File)。D.DDBC)。D.DDB)。D.DDB123.原理图电气规则检查后产生文件的扩展名是(D.DDB)。D.DDB格式)的扩展名是(D.xls)。A.SchB.ERCC.PCB124.网络表文件的扩展名是(A.SchB.NET125.元器件列表文件A.csvB.bom126.元器件列表文件A.csvB.bom127.元器件列表文件C.PCBProtelFormatC.PCBCSVFormat格式)的扩展名是(C.PCBD.xlsClientSpreadsheet格式)的扩展名是)。)。)。A.csvB.bomC.PCBD.xls128.元器件列表文件的格式有三种,其中(A.ClientSpreadsheetB.CSVFormat129.根据元器件的焊盘种类不同,元件封装可分为插针式元器件封装和(A.表贴式元器件封装B.130.RB代表(A)。焊盘A)与EXCEL格式类似。C.ProtelFormatC.导线D.xlsA)两种类型。D.过孔A.电解电容B.管状元器件C.二极管D.双列直插式元器件131.AXIAL代表(B)。A.电解电容B.管状元器件C.二极管D.双列直插式元器件132.DIP代表(D)。A.电解电容B.管状元器件C.二极管D.双列直插式元器件133.SIP代表(B)。A.电解电容B.单列直插式元器件C.二极管D.双列直插式元器件134.DIP代表(D)。A.电解电容B.单列直插式元器件C.二极管D.双列直插式元器件135.元器件石英晶体振荡器的封装是(D)。A.DIPB.SIPC.AXIALD.XTAL1136.元器件可变电阻(POT1、POT2)的封装是(B)。TOC\o"1-5"\h\zA.DIPB.VR1C.AXIALD.XTAL1137.电阻类的封装是(C)。A.DIPB.RBC.AXIALD.XTAL1138.晶体管的封装是(C)。A.DIPB.RBC.TO--xxxD.XTAL1139.PCB编辑器中放置元器件工具栏是(A)。A.ComponentPlacementB.FindSelectionPlacementToolsD.WiringTools140.PCB编辑器中放置工具栏是(C)。A.ComponentPlacementB.FindSelectionC.PlacementToolsD.WiringTools简答题(56题)1、谈谈你对EDA技术的理解。(什么是EDA)。EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。简要解释建模、仿真和综合的含义。答:建模是指用硬件描述语言描述电路的功能。仿真是指验证电路的功能。综合是指把软件模型转化为硬件电路。3、EDA技术的主要特征有哪些?答:自顶向下的设计方法;采用硬件描述语言;高层综合优化;并行工程;开放性和标准化。4、什么是硬件描述语言?答:是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的功能、电路结构和连接形式;与传统的门级描述方式相比,它更适合复杂数字电子系统的设计。5、用硬件描述语言设计电路有哪些优点?突出的优点:①语言的公开可利用性;②设计与工艺的无关性;③宽范围的描述能力;④便于组织大规模系统的设计;⑤便于设计的复用、交流、保存和修改等。6、利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。7、从使用的角度讲,EDA技术主要包括几个方面的内容?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。8、硬件描述语言VHDL的特点是什么?VHDL是一种具备形式化、层次化和规范化的硬件描述语言。1硬件相关结构2VHDL的并发性3混合级描述以及混合级模拟。9、信号与变量的区别有哪些?信号可以用来描述哪些硬件特性?答:变量赋值与信号赋值的区别在于,变量具有局部特征,它的有效只局限于所定义的一个进程中,或一个子程序中,它是一个局部的、暂时性数据对象(在某些情况下)。对于它的赋值是立即发生的(假设进程已启动),即是一种时间延迟为零的赋值行为。信号则不同,信号具有全局性特征,它不但可以作为一个设计实体内部各单元之间数据传送的载体,而且可通过信号与其他的实体进行通信(端口本质上也是一种信号)。信号的赋值并不是立即发生的,它发生在一个进程结束时。赋值过程总是有某种延时的,它反映了硬件系统并不是立即发生的,它发生在一个进程结束时。赋值过程总是有某些延时的,它反映了硬件系统的重要特性,综合后可以找到与信号对应的硬件结构,如一根传输导线、一个输入/输出端口或一个D触发器等。10、名词解释:VHDL、实体说明、.结构体、类属表、数据对象、并行语句、程序包。答:VHDL(VeryhighspeedintergatedcircuitHardwareDescriptionLanguage):非常高速集成电路的硬件描述语言。11、名词解释:结构体答:通过若干并行语句来描述设计实体的逻辑功能(行为描述)或内部电路结构(结构描述),从而建立设计实体输出与输入之间的关系。12、名词解释:类属表答:用来确定设计实体中定义的局部常数,用以将信息参数传递到实体,用类属表指明器件的一些特征。最常用的是上升沿和下降沿之类的延迟时间,负载电容、驱动能力和功耗等。13、名词解释:数据对象答:数据类型的载体,共有三种形式的对象:Constant(常量)、Variable(变量)、Signal(信号)。14、名词解释:并行语句答:并行语句有五种类型,可以把它们看成结构体的五种子结构。这五种语句结构本身是并行语句,但内部可能含有并行运行的逻辑描述语句或顺序运行的逻辑描述语句,如进程内部包含的即为顺序语句。五种语句结构分别为块语句、进程语句、信号赋值语句、子程序调用语句和元件例化语句。15、名词解释:程序包答:程序包可定义一些公用的子程序、常量以及自定义数据类型等。各种VHDL编译系统都含有多个标准程序包,如Std-Logic-1164和Standard程序包。用户也可已自行设计程序包。程序包由两个独立的单元组成:程序包声明单元和程序包体单元构成。16、元件例化语句的作用是什么?答:元件例化语句作用:把已经设计好的设计实体称为一个元件或一个模块,它可以被高层次的设计引用。是使VHDL设计构成自上而下层次设计的重要途径。17、什么是并行语句?什么是顺序语句?答:并行语句主要用来描述模块之间的连接关系,顺序语句一般用来实现模块算法部分。18、设计项目的验证有哪几种方法?答:包括功能仿真、时序仿真和定时分析。功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。定时分析可以分析各个信号到输出端的时间延迟,可以给出延迟矩阵和最高工作频率,还可分析信号的建立、保持时间。19、简述层次结构设计的优点。答:层次化设计是一种模块化的设计方法,设计人员对设计的描述由上至下逐步展开,符合常规的思维习惯;由于顶层设计与具体的器件和工艺无关,因此易于在各种可编程逻辑器件中间进行移植。层次化的设计方法可以使多个设计人员同时进行操作。有利于对设计任务进行合理的分配并用系统工程的方法对设计进行管理。20、在数字系统设计中锁定引脚的作用是什么?答:将设计文件中的输入、输出信号定位到所选器件的具体物理管脚上。简述EDA技术的发展趋势:•超大规模集成电路的集成度和工艺水平不断提高,深亚微米工艺已经走向成熟,在一个芯片上完成的系统级的集成已成为可能。②.由于工艺线宽的不断减小,在半导体材料上的许多寄生效应已经不能简单地被忽略,这就对EDA工具提出了更高的要求。同时,也使得IC生产线的投资更为巨大。可编程逻辑器件开始进入传统的ASIC市场。③•高性能的EDA工具得到长足的发展,其自动化核智能化程度不断提高,为嵌入式系统设计提供了功能强大的开发环境。④.计算机硬件平台性能大幅度提高,为复杂的SOC设计提供了物理基础。EDA技术在进入21世纪后,得到更大的发展,突出表现在哪些方面?①•在FPGA上实现DSP(数字信号处理)应用成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化和发展。基于FPGA的DSP技术,为高速数字信号处理算法提供了实现途径。②•嵌入式处理器软核的成熟,使得SOPC步入大规模应用阶段,在一片FPGA上实现一个完备的数字处理系统成为可能。③•在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。④•电子技术领域全方位融入EDA技术。⑤•EDA使得电子领域各学科的界限更加模糊,更加互为包容,如:模拟与数字、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。⑥•基于EDA的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块。⑦•软硬IP核在电子行业的产业领域广泛应用。⑧•SOC高效低成本设计技术的成熟。⑨.系统级、行为验证级硬件描述语言的出现,使复杂电子系统的设计和验证趋于简单。23•简述可编程逻辑器件大致的演变过程:①.20世纪70年代,熔丝编程编程的PROM和PLA器件是最早的可编程逻辑器件。②•20世纪70年代末,对PLA进行了改进,AMD公司推出PAL器件。③•20世纪80年代初,Lattice公司发明电可擦写的、比PLA使用更灵活的GLA器件。④.20世纪80年代中期,Xilinx公司提出现场可编程概念,同时生产出了世界上第一片FPGA器件。同时,Altera公司推出EPLD器件,较GAL器件有更高的集成度,可以用紫外线或电擦除。⑤.20世纪80年代末‘Lattice公司推出一系列具备在系统可编程能力的CPLD器件,将可编程器件的性能和应用技术推向了一个全新的高度。⑥•进入20世纪90年代后,可编程逻辑集成电路技术进入飞速发展时期。器件的可用逻辑门数已达百万,并出现了内嵌复杂功能模块(如乘法器、RAM、CPU核、DSP核、PLL等)的FPGA。⑦•进入21世纪70后,可编程逻辑集成电路的规模和集成度更有了巨大的进步。利用FPGA方便地实现片上系统(SOC、SOPC)设计已变得十分容易。24•写出LOOP语句的常用表达式的语法格式:•单个LOOP语句[LOOP标号:]LOOP顺序语句ENDLOOP[LOOP标号];•FOR_LOOP语句[LOOP标号:]FOR循环变量,IN循环次数范围LOOP顺序语句ENDLOOP[LOOP标号];25简述VHDL的程序结构。实体(Entity)2.结构体(Architecture)3.配置(Configuration)4.程序包集合(Package)5.库(Library)26•写出进程语句结构的一般表达式。进程标号:process(敏感信号参数表)is进程说明部分begin顺序说明语句Endprocess进程标号;3.对于VHDL程序,特别是进程结构,设计者需要从哪几个方面去判断它的功能和执行情况?P81(1)process为一无限循环语句(2)process中的顺序语句具有明显的顺序/并行运行双重性(3)进程必须由敏感信号的变化来启动(4)进程语句本身是并行语句(5)信号是多个进程间的通信线(6)—个进程中只允许描述对应于一个时钟信号的同步时序逻辑27.IF语句的语句结构有哪几种?(1)if条件句then顺序语句Endif;(2)if条件句then顺序语句else顺序语句Endif;(3)if条件句thenIf条件句then…Endif;endif;(4)if条件句then顺序语句elseif条件句then…else顺序语句endif;28•写出CASE语句一般表述。case表达式iswhen选择值或标示符=>顺序语句;…;顺序语句;when选择值或标示符=>顺序语句;…;顺序语句;…Whenothers=>顺序语句;endcase;简述基于VHDL语言的工程设计的基本流程1设计准备包括系统设计、设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 论证和器件选择等。2设计输入由设计者利用EDA工具的文本编辑器或图形编辑器对器件的逻辑功能进行描述以文本方式或图形方式表达出来进行编辑和编译变成VHDL文件格式。3设计实现利用EDA软件系统的综合器进行逻辑综合然后进行器件的布局、布线和适配最后生成下载文件或位流数据文件。4器件编程与配置设计编译好后将数据文件通过编程器或下载电缆下载到目标芯片FPGA/CPLD中。5设计验证在上述设计过程中同时进行验证过程包括行为仿真、功能仿真、时序仿真和硬件仿真/器件测试什么是时序仿真?时序仿真,就是接近真实器件运行特性的仿真,仿真文件中已包含了器件硬件特性参数,因而,仿真精度高什么是功能仿真?功能仿真,是直接对HDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求VHDL操作符有哪几种类型①.逻辑操作符②.关系操作符③.算术操作符④.符号操作符结构体中包含的四类功能描述语句是那些?①.进程语句:定义顺序语句模块•②.信号赋值语句:将设计实体内的处理结果向定义的信号或界面端口进行赋值•③.子程序调用语句:用以调用过程或函数,并将获得的结果赋值于信号.④.原件例化语句:对其他的设计实体作远元件说明,并将此元件的端口与其他的元件、信号或高层次实体的界面端口进行连接在VHDL中,并行语句有哪些?其在结构体中使用的格式是如何?①.并行信号赋值语句②.进程语句③.块语句④.条件信号赋值语句⑤.元件例化语句⑥.生成语句⑦.并行过程调用语句⑧.参数传递映射语句⑨.端口说明语句顺序语句与并行语句的特点和用途顺序语句特点在程序执行时按照语句的书写顺序执行前面的语句的执行结果可能直接影响后面语句的执行。用途主要用于模块的算法部分用若干顺序语句构成一个进程或描述一个特定的算法或行为。顺序语句不能直接构成结构体必须放在进程、过程中。并行语句特点不按书写顺序执行可作为一个整体运行程序执行时只执行被激活的语句。被激活的并行语句是同时执行的。用途主要用于表示算法模块间的连接关系模拟实际硬件电路工作的并行性,可以直接构成结构体。简述WITH_SELECT_WHEN选择信号赋值语句和CASE_WHEN顺序语句的异同。WHEN_ELSE条件信号赋值语句中无标点只有最后有分号必须成对出现是并行语句必须放在结构体中IF_ELSE顺序语句中有分号是顺序语句必须放在进程中简述PROCESS语句结构的三部分构成并说明进程语句、顺序语句和信号之间的关系。答PROCESS语句结构是由三部分构成即进程说明部分顺序描述语句部分和敏感信号参数表。2'各个进程是并行运行的无先后之分必须放在结构体中顺序语句是按顺序运行的有先后之分必须放在进程中信号放在结构体和进程之间是用以完成各个进程之间数据交换。采用可编程逻辑器件进行电路和系统设计有什么好处优点便于修改和调试缩短开发周期降低开发成本简化系统构成缩小系统体积降低系统功耗提高系统可靠39、Protel99SE的元件属性中,LibRef、Footprint、Designator、PartType分别代表什么含意?答:LibRef代表元件图形符号名称,Footprint代表元件封装名称,Designator代表元件标号,PartType代表元件主要规格型号。40、简要说明印刷电路板设计的一般步骤。答:(1)绘制原理图;(2)启动PCB编辑器并设置参数;(3)定义板框;(4)装入网络表和元件封装库;(5)元件布局;(6)自动布线;(7)手工调整;(8)DRC检查;(9)编辑丝印层;(10)文件保存与输出。41、简要说明原理图设计的一般步骤。答:(1)设置图纸大小;(2)设置环境;(3)放置元件;(4)原理图布线;(5)编辑与调整;(6)输出报表;(7)存盘打印。42、简单介绍一下电路板的分类?答:印刷电路板常见的板层结构包括单层板(SingleLayerPCB)、双层板(DoubleLayerPCB)和多层板(MultiLayerPCB)三种,这三种板层结构的简要说明如下:单层板:即只有一面敷铜而另一面没有敷铜的电路板。通常元器件放置在没有敷铜的一面,敷铜的一面主要用于布线和焊接。双层板:即两个面都敷铜的电路板,通常称一面为顶层(TopLayer),另一面为底层(BottomLayer)。一般将顶层作为放置元器件面,底层作为元器件焊接面。多层板:即包含多个工作层面的电路板,除了顶层和底层外还包含若干个中间层,通常中间层可作为导线层、信号层、电源层、接地层等。层与层之间相互绝缘,层与层的连接通常通过过孔来实现。43、在PCB设计中,选取元件的主要方法有哪些?答:直接选取元件画框选取元件3•用菜单命令选取元件44、执行自动布线的方法主要有下几种?答:1.全局布线(All)指定网络布线(Net)指定两连接点布线(Connection)指定元件布线(Component)指定区域布线(Area)45、在PCB设计中的DRC电气规则检查主要有几种方式?答:实时检查(On-LineDRC)和分批检查(BatchDRC)。46、与HDL文本输入法相比较,原理图输入法有何优点?1:设计者不需增加新的相关知识,如HDL等。2:输入方法与用protel作图相似,设计过程形象直观,适合初学者入门。3:对于较小的电路模型,其结构与实际电路十分接近,设计者易于把握电路全局(适合设计小型数字电路)。4:设计方式接近于底层电路布局,因此易于控制逻辑资源的耗用,节省面积。47、写出结构体的一般语言格式并说明其作用ARCHITECTURE结构体名OF实体名IS[说明语句]BEGIN[功能描述语句]ENDARCHITECTURE结构体名;结构体用于描述电路器件的内部逻辑功能或电路结构。使用的语句有顺序语句和并行语句。48、写出五种以上的VHDL的预定义数据类型。布尔(BOOLEAN)数据类型、位(BIT)数据类型、位矢量(BIT_VECTOR)数据类型字符(CHARACTER)数据类型、整数(INTEGER)数据类型、实数(REAL)数据类型字符串(STRING)数据类型、时间(TIME)数据类型若状态机仿真过程中出现毛刺现象,应如何消除
本文档为【最新EDA试题库资料】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_769254
暂无简介~
格式:doc
大小:222KB
软件:Word
页数:0
分类:
上传时间:2020-05-18
浏览量:5