首页 桂林理工大学 ——OFDM设计论文

桂林理工大学 ——OFDM设计论文

举报
开通vip

桂林理工大学 ——OFDM设计论文学    号: 3080418905      题目类型: 设计            (设计、论文、报告) 桂林理工大学 GUILIN UNIVERSITY OF TECHNOLOGY 本科毕业设计(论文) 题目:   OFDM正交频分复用技术及MATLAB仿真 学      院:  信息科学与工程学院    专业(方向):  电子信息工程            班      级:  电信08-3班            学      生:    陈明财              指导教师:    ...

桂林理工大学 ——OFDM设计论文
学    号: 3080418905      题目类型: 设计            (设计、论文、报告) 桂林理工大学 GUILIN UNIVERSITY OF TECHNOLOGY 本科毕业设计(论文) 题目:   OFDM正交频分复用技术及MATLAB仿真 学      院:  信息科学与工程学院    专业(方向):  电子信息工程            班      级:  电信08-3班            学      生:    陈明财              指导教师:    金  红              2012 年 5 月 22 日 桂林理工大学 毕业设计(论文)独创性声明 本人声明所呈交的设计(论文)是我个人在指导教师指导下进行的研究工作及取得的研究成果。尽我所知,除了设计(论文)中特别加以标注和致谢的地方外,设计(论文)中不包含其他人或集体已经发表或撰写的研究成果,也不包含为获得桂林理工大学或其它教育机构的学位或证书而使用过的 材料 关于××同志的政审材料调查表环保先进个人材料国家普通话测试材料农民专业合作社注销四查四问剖析材料 。对设计(论文)的研究成果做出贡献的个人和集体,均已作了明确的标明。本人完全意识到本声明的法律后果由本人承担。 设计(论文)作者签名:          日期:    年  月  日 桂林理工大学 设计(论文)使用授权声明 本设计(论文)作者完全了解学校有关保留、使用设计(论文)的 规定 关于下班后关闭电源的规定党章中关于入党时间的规定公务员考核规定下载规定办法文件下载宁波关于闷顶的规定 ,同意学校保留并向国家有关部门或机构送交设计(论文)的复印件和电子版,允许设计(论文)被查阅或借阅。本人授权桂林理工大学可以将本设计(论文)的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本设计(论文)。 设计(论文)作者签名:            日期:      年    月    日 指 导 教 师 签 名:            日期:      年    月    日 摘    要 在传统的多载波通信系统中,整个系统频带被划分为若干个分离的子信道,也就是所谓的载波,为了避免信道之间的干扰,在信道间一般有一定宽度的保护间隔,接收端通过滤波器使各个子信道分离之后再接收所需要的信息。这样就以牺牲频带利用率为代价,而且当子信道数量很多的时候,大量分离各个子信道信号的滤波器的设置就成了几乎不可能的事情,而OFDM的高频带利用率刚好可以解决上述困难。本文以系统平均用户感受质量QoE(Quality of Experience)为目标,提出使用QoE效用函数的子载波分配算法。该算法可根据用户需求与实际信道容量对子载波进行分配,在MATLAB中将QoE引入效用函数构建中,并以系统平均QoE最大化为目的对子载波进行功率分配,在功率分配过程中用傅里叶算法和导数迭代的方法逼近最优的功率分配结果。仿真结果表明,使用该算法在获得较高质量的载波以及系统平均QoE的同时,根据系统功率资源供求情况,平衡考虑了系统、容量以及用户公平性。 关键词:QoE ; OFDM;MATLAB;子载波分配; 效用函数 Orthogonal frequency multiplexing using for matlab Student: CHEN Ming-cai    Teacher:  JIN-hong Abstract:In traditional multi-carrier communication system, the entire system band is divided into a number of separate subchannels, that is, the so-called carrier, generally have a certain width of the guard interval in order to avoid interference between the channel in the channel between the receiverfilter so that each subchannel separation and then receive the information they need. So that the expense of bandwidth efficiency, the cost of the time when the subchannel number, a lot of separation of each sub-channel signal filter settings has become almost impossible, and high bandwidth efficiency of OFDM-just can solve the above difficult. Average system user quality of experience QoE (Quality of Experience) as the goal, the proposed subcarrier allocation algorithm to use the QoE utility function. The algorithm can be based on user needs and the actual channel capacity subcarrier allocation, the introduction of a utility function to build in MATLAB will be QoE, and for the purpose of subcarrier power allocation to maximize the system average QoE in the process of power distribution using Fu Fourier algorithms and derivative iteration method of approaching the optimal power allocation results. The simulation results show that use of the algorithm to obtain a high quality carrier and average QoE while, according to system power resources supply and demand situation, the balanced consideration of system capacity and user fairness. Key words: QoE ; OFDM;MATLAB; Subcarrier allocation; utility function 目  次 摘要    I Abstract    II 1  引言    1 1.1 移动通信的发展状况    1 1.2 OFDM技术的现状及发展趋势    3 1.3 OFDM技术的优缺点    4 2  OFDM技术基础    7 2.1 OFDM技术的基本原理    7 2.2 串并变换    8 2.3 子载波调制    9 2.4 DFT的实现    10 2.5 保护间隔与循环前缀    10 3  OFDM系统构成    12 3.1 OFDM系统组件构成    12 3.1.1 OFDM系统结构    12 3.1.2 OFDM系统的收发过程    13 3.2  OFDM系统采用的关键技术    14 3.2.1 同步技术    14 3.2.2 功率峰值与均值比    14 3.3 OFDM系统基本结构    16 4  OFDM系统的MATLAB实现    18 4.1 本设计系统的特点    18 4.2 matlab仿真环境    18 4.3 软件结构框架    19 5  OFDM运算及仿真结果分析    20 5.1 OFDM仿真    20 5.2 仿真结果及分析    22 6  总结    30 参考文献    33 附录    34 1  引言 1.1 移动通信的发展状况 第三代移动通信系统(ITU-R的正式名称为IMT-2000),其前身为FPLMTS(未来公共陆地移动通信系统)。ITU-R TG8/1在FPLMTS领域经过多年的努力。到1996年底确定了第三代移动通信系统的基本框架,包括业务需求、工作频带、网络过度要求、无线传输技术的评估方法等诸多方面。1996年底FPLMTS更名为IMT-2000,其用意在于希望2000年左右最高传输速率可达2Mbit/s、工作于2GHz频段的第三代移动通信系统可以提供商用服务,并确定了无线传输技术(RTT)候选 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 的最终提交时间—1998年6月30日。 截止到1998年6月30日,提交ITU的地面第三代移动通信系统无线传输技术(RTT)共有10种,见表1.1。其中FDD方式8种,TDD方式5种。 表1-1 10种IMT-2000地面无线传输技术提案 序号 提交技术 双工方式 应用环境 提交者 1 W-CDMA FDD、TDD 所有环境 日本:ARIB 2 ETSI-UMTS-UTRA FDD、TDD 所有环境 欧洲:ETSI 3 WIMS W-CDMA FDD 所有环境 美国:TIA 4 W-CDMA/NA FDD 所有环境 美国:T1P1 5 Global CDMA FDD 所有环境 韩国:TTA 6 TD-SCDMA TDD 所有环境 中国:CATT 7 cdma2000 FDD、TDD 所有环境 美国:TIA 8 Global CDMA FDD 所有环境 韩国:TTA 9 UWG-136 FDD 所有环境 美国:TIA 10 日本:ARIB TDD 室内、室外到室内 欧洲:ETSI DECT           从参考文献[2]知道:10种提案按其技术特征可以分为以下几类: 1)W-CDMA(1-5) 欧洲:ETSI UTRA FDD、日本:ARIB WCDMA、韩国TTA CDMA、美国T1 WCDMA/和TIA TR46的WIMS W-CDMA这5种提案可以归为一类。这类提案的多址方式均采用W-CDMA,同步方式、码片速率、帧结构等方面也基本一致。其中美国T1提交的W-CDMA/NA和美国TIA TR46提交的WIMS W-CDMA后来以融合为一个 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 ,称为WP-CDMA宽带分组码分多址技术[1]。 2)cdma2000(7-8) 美国TIA的cdma2000和韩国TIA的CDMA 1 可以归为一类,均是基于IS-95技术发展来的。 3)UWG-136(9) UWC-136是在北美IS-136 TDMA是北美第二代系统的主要技术之一,其用户规模与IS-95相当 IS-136的运营者继续采用UWG-136 也在情理之中[2]。 4)我国的TD-SCDMA(6)与欧洲URTA TDD(2)(即TD-SCDMA) 二者技术比较相似,相互间融合的可能性最大。但在帧结构、码片速率差别很大,技术融合也不是一件很简单的事情。 5)EPT-DECT(10)即欧洲数字无绳系统DECT。 从候选提案的技术特点来看,CDMA技术占据了绝大多数,宽带CDMA技术无疑是第三代移动通信系统标准,RTT提案完成以后,不同候选技术尤其是CDMA中的直扩方式间的融合成了一个最大的难题。以全球移动通信运营者为主形成的运营者融合组织(OHG)通过多次国际会议和技术讨论,对采用CDMA技术的RTT提出了的方向: 在技术规范的标准化方面,为了加快第三代移动通信系统的标准化进程,推动第三代移动通信系统及早投入商用,原本由ITU完成的标准化工作也由地区性的标准化组织——第三代移动通信伙伴 计划 项目进度计划表范例计划下载计划下载计划下载课程教学计划下载 3GPP及3GPP2取而代之,ITU-R建议的绝大部分将直接引用这两大标准化组织的规范。 谈到WCDMA和EV-DO同时,TD-SCDMA作为一个重要的3G技术在今年内取得巨大的进展,回顾一下TD-SCDMA产品产业化和研究开发的过程,它从2002年到2004年,我国信息产业部组织的3G实验,当时TD-SCDMA实现设备从无到有,在后来三部委支持的研究开发和产业化中,建立了产业链,从只有单一的厂家变成一个真正由多厂家构成较为完整的产业链,在后续的应用技术实验中,又在芯片和终端的薄弱环节加强,进入今年国内网络应用实验,这次实验对TD-SCDMA全面的验证和全面完善。在这次规模网络应用技术试验中,采用2+3的方式,北京和上海两个实验网在原有基础上适当扩容,主要目的是为了对TD-SCDMA设备和基本性能、功能进行验证。只有在这个网上得到验证之后,再进入大规模的规模网实验中。TD-SCDMA的产品下一步演进和长期的发展也是大家非常关注的内容,TD-SCDMA在现在的基础上会进一步从产品技术层面进一步发展,包括支持HSDPA,HSUPA等数据能力更强的技术,同时未来也会向长期的演进方向发展,TD-SCDMA还要支持双模多模终端,以及多频点等等。TD-SCDMA所有的产品路线和技术路线是非常清晰的,而且所有的工作都在稳步的推进。 1.2 OFDM技术的现状及发展趋势 OFDM技术实际上是多载波调制的一种。主要思想是:把信道分成若干正交子信道,把高速数据信号转换成并行的低速子数据流,将其调制在每个子信道上进行传输。正交信号可通过接收端采用稳定的相关技术来分开,这样可以减少每个子信道间的相互干扰 ICI[1] 。每个子信道上的信号带宽小于信道的相关带宽,因此每个子信道上的可以看成平坦性衰落,从而可以消除符号间干扰。而且由于每个子信道的带宽仅仅是原信道带宽的一小部分,信道均衡变得相对容易。 从参考文献[15]得知:OFDM的英文全称为Orthogonal Frequency Division Multiplexing,中文译为正交频分复用技术。这种技术是HPA联盟(Homeplug Powerline Alliance)工业规范的基础,它将载波的不同频率中的大量信号合并成单一信号,从而完成信号传送。由于这种技术具有在杂波干扰下传送信号的能力,因此常常会被利用在容易受外界干扰或者抵抗外界干扰能力较差的传输介质中。 传统的多载波通信系统中,整个系统频带会被划分为若干个互相分离的子信道,也就是所谓的载波。为避免信道之间的干扰,在信道之间通常有一定宽度的保护间隔,接收端通过滤波器把各个子信道分离之后接收所需信息。这样虽然可以避免不同信道的互相干扰,但却以牺牲频率利用率为代价。而且当子信道数量很大的时候,大量分离各子信道信号的滤波器的设置就成了几乎不可能的事情。 OFDM已经广泛应用于数字移动通信的各个领域,技术正在逐步迈向成熟。随着其在单频网、与其他技术结合等方面的新问题的提出,关于OFDM的理论研究也正进入一个崭新的阶段。未来的研究方向: 1)OFDM用于数字单频网:现代通信使得频率资源越来越珍贵,利用OFDM的优点,在移动广播领域,所有发射台采用同一个频率在同一时间、同一国家(区域)发送同一个节目,就可以大大节约频率资源。 2)OFDM用于蜂窝网:OFDM技术用于第四代移动通信,关于蜂窝网络的问题还待解决。例如,蜂窝小区间的干扰、子载波分配、多用户接入、Doppler频偏等问题。 3)峰值功率和均值功率的比值控制问题:如果峰均比过高,对功放非线性提出更高的要求,这就增加了系统成本和实现难度。若超过了功放的线性放大范围,就引起非线性失真,最后将导致OFDM整体性能下降,同时带外能量泄漏对其他无线通信系统造成干扰,此点从参考文献[4]可知。 OFDM 是一种多载波传输技术,N 个子载波把整个信道分割成 N 个子信道,N 个子信道并行传输信息。现代社会对通信的依赖和要求越来越高,设计和开发效率更高的通信系统就成了通信工程界不断追求的目标。通信系统的效率,说到底就是频谱利用率和功率利用率。特别是在无线通信的情况下,对这两个指标的要求往往更高,尤其是频谱利用率。由于空间可用频谱资源是有限的,而无线应用却越来越多,使得无线频谱的使用受到各国政府的严格管理并统一规划[3]。于是,各种各样的具有较高频谱效率的电子信息工程不断被开发出来,OFDM是目前已知的频谱利用率最高的一种通信系统,它将数字调制、数字信号处理、多载波传输等技术有机结合在一起,使得它在系统的频谱利用率、功率利用率、系统复杂性方面综合起来有很强的竞争力,是支持未来移动通信特别是移动多媒体通信的主要技术之一。 从参考文献[6]得知:LTE(Long Term Evolution,长期演进)项目是3G的演进,始于2004年3GPP的多伦多会议。LTE并非人们普遍误解的4G技术,而是3G与4G技术之间的一个过渡,是3.9G的全球标准,它改进并增强了3G的空中接入技术,采用OFDM和MIMO作为其无线网络演进的唯一标准。在20MHz频谱带宽下能够提供下行326Mbit/s与上行86Mbit/s的峰值速率。改善了小区边缘用户的性能,提高小区容量和降低系统延迟。 1.3 OFDM技术的优缺点 OFDM 系统有许多非常引人注目的优点。第一,OFDM 具有非常高的频谱利用率。普通的 FDM 系统为了分离开各子信道的信号,需要在相邻的信道间设置一定的保护间隔,以便接收端能用带通滤波器分离出相应子信道的信号,造成了频谱资源的浪费。OFDM    系统各子信道间不但没有保护间隔,而且相邻信道间信号的频谱的主瓣还相互重叠,各子载波在时域上是正交的,OFDM 系统的各个子信道信号的分离(解调)是靠这种正交性来完成的。当子信道上采用 QAM 或 MPSK 调制方式时,调制过程可以用 IFFT 完成,解调过程可以用 FFT 完成,既不用多组振荡源,又不用带通滤波器组分离信号。第三,抗多径干扰能力强,抗衰落能力强[7]。 OFDM    的子载波把整个信道划分成许多窄信道,尽管整个信道是有可能是极不平坦的衰落信道,但在各子信道上的衰落却是近似平坦的,这使得 OFDM 系统子信道的均衡特别简单,往往只需一个抽头的均衡器即可。 从参考文献[8] 可知:与单载波系统比,OFDM 也有一些困难问题需要解决。这些问题主要是:第一,同步问题。理论分析和实践都表明,OFDM系统对同步系统的精度要求更高,大的同步误差不仅造成输出信噪比的下降,还会破坏子载波间的正交性,造成载波间干扰,从而大大影响系统的性能,甚至使系统法正常工作。第二 ,OFDM信号的峰值平均功率比往往很大,使它对放大器的线性范围要求大,同时也降低了放大器的效率。 OFDM在未来通信系统中的应用,特别是在未来移动多媒体通信中的应用,将取决于上述问题的解决程度。OFDM存在很多技术优点见如下,在3G、4G中被运用,作为通信方面其有很多优势: 1)在窄带带宽下也能够发出大量的数据。OFDM技术能同时分开至少1000个数字信号,而且在干扰的信号周围可以安全运行的能力将直接威胁到目前市场上已经开始流行的CDMA技术的进一步发展壮大的态势,正是由于具有了这种特殊的信号“穿透能力”使得OFDM技术深受欧洲通信营运商以及手机生产商的喜爱和欢迎,例如加利福尼亚Cisco系统公司、纽约Flarion工学院以及朗讯工学院等开始使用,在加拿大Wi-LAN工学院也开始使用这项技术[5]。 2)OFDM技术能够持续不断地监控传输介质上通信特性的突然变化,由于通信路径传送数据的能力会随时间发生变化,所以OFDM能动态地与之相适应,并且接通和切断相应的载波以保证持续地进行成功的通信; 3)该技术可以自动地检测到传输介质下哪一个特定的载波存在高的信号衰减或干扰脉冲,然后采取合适的调制措施来使指定频率下的载波进行成功通信; 4)OFDM技术特别适合使用在高层建筑物、居民密集和地理上突出的地方以及将信号散播的地区。高速的数据传播及数字语音广播都希望降低多径效应对信号的影响,此点从参考文献[9]中得知。 5)OFDM技术的最大优点是对抗频率选择性衰落或窄带干扰。在单载波系统中,单个衰落或干扰能够导致整个通信链路失败,但是在多载波系统中,仅仅有很小一部分载波会受到干扰。对这些子信道还可以采用纠错码来进行纠错[11]。 6)可以有效地对抗信号波形间的干扰,适用于多径环境和衰落信道中的高速数据传输。当信道中因为多径传输而出现频率选择性衰落时,只有落在频带凹陷处的子载波以及其携带的信息受影响,其他的子载波未受损害,因此系统总的误码率性能要好得多。 7)通过各个子载波的联合编码,具有很强的抗衰落能力[13]。OFDM技术本身已经利用了信道的频率分集,如果衰落不是特别严重,就没有必要再加时域均衡器。通过将各个信道联合编码,则可以使系统性能得到提高。 8)OFDM技术抗窄带干扰性很强,因为干扰仅仅影响到很小一部分的子信道。 虽然OFDM有上述优点,但是同样其信号调制机制也使得OFDM信号在传输过程中存在着一些缺陷: 1)对相位噪声和载波频偏十分敏感 这是OFDM技术一个非常致命的缺点,整个OFDM系统对各个子载波之间的正交性要求格外严格,任何一点小的载波频偏都会破坏子载波之间的正交性,引起ICI,同样,相位噪声也会导致码元星座点的旋转、扩散,从而形成ICI[12]。而单载波系统就没有这个问题,相位噪声和载波频偏仅仅是降低了接收到的信噪比SNR,而不会引起互相之间的干扰。OFDM技术区分各个子信道的方法是利用各个子载波之间严格的正交性。频偏和相位噪声会使各个子载波之间的正交特性恶化,仅仅1%的频偏就会使信噪比下降 30dB。因此,OFDM系统对频偏和相位噪声比较敏感。 2)峰均比过大 OFDM信号由多个子载波信号组成,这些子载波信号由不同的调制符号独立调制。与单载波系统相比,由于OFDM信号是由多个独立的经过调制的子载波信号相加而成的,这样的合成信号就有可能产生比较大的峰值功率,也就会带来较大的功率峰值与均值比,简称峰均值比。对于包含 N 个子信道的 OFDM 系统来说,当 N 个子信道都以相同的相位求和时,所得到的峰值功率就是均值功率的 N 倍。对某些数据,这些小信号可能同相,而在幅度上叠加在一起从而产生很大的瞬时峰值幅度。而峰均比过大,将会增加A/D和D/A的复杂性,而且会降低射频功率放大器的效率。同时,在发射端,放大器的最大输出功率就限制了信号的峰值,这会在OFDM频段内和相邻频段之间产生干扰。 3)所需线性范围宽 从参考文献[14]得知:由于OFDM系统峰值平均功率比(PAPR)大,对非线性放大更为敏感,故OFDM调制系统比单载波系统对放大器的线性范围要求更高。负载算法和自适应调制技术会增加系统复杂度。负载算法和自适应调制技术的使用会增加发射机和接收机的复杂度,并且当终端移动速度高于 30km 每小时时,自适应调制技术就不是很适合了。 2  OFDM技术基础 2.1 OFDM技术的基本原理 OFDM是一种无线环境下的高速传输技术。无线信道的频率响应曲线大多是非平坦的,而OFDM技术的主要思想就是在频域内将给定信道分成许多正交子信道,在每个子信道上使用一个子载波进行调制,并且各子载波并行传输。这样,尽管总的信道是非平坦的,具有频率选择性,但是每个子信道是相对平坦的,在每个子信道上进行的是窄带传输,信号带宽小于信道的相应带宽,因此就可以大大消除信号波形间的干扰。由于在OFDM系统中各个子信道的载波相互正交,它们的频谱是相互重叠的,这样不但减小了子载波间的相互干扰,同时又提高了频谱利用率。 OFDM技术属于多载波调制(Multi-Carrier Modulation,MCM)技术。有些文献上将OFDM和MCM混用,实际上不够严密。MCM与OFDM常用于无线信道,它们的区别在于:OFDM技术特指将信道划分成正交的子信道,频道利用率高;而MCM,可以是更多种信道划分方法。 从参考文献[10]得知:OFDM技术的推出其实是为了提高载波的频谱利用率,或者是为了改进对多载波的调制,它的特点是各子载波相互正交,使扩频调制后的频谱可以相互重叠,从而减小了子载波间的相互干扰。在对每个载波完成调制以后,为了增加数据的吞吐量、提高数据传输的速度,它又采用了一种叫作HomePlug的处理技术,来对所有将要被发送数据信号位的载波进行合并处理,把众多的单个信号合并成一个独立的传输信号进行发送。另外OFDM之所以备受关注,其中一条重要的原因是它可以利用离散傅立叶反变换/离散傅立叶变换(IDFT/DFT)代替多载波调制和解调。 OFDM增强了抗频率选择性衰落和抗窄带干扰的能力。在单载波系统中,单个衰落或者干扰可能导致整个链路不可用,但在多载波的OFDM系统中,只会有一小部分载波受影响。此外,纠错码的使用还可以帮助其恢复一些载波上的信息。通过合理地挑选子载波位置,可以使OFDM的频谱波形保持平坦,同时保证了各载波之间的正交。 OFDM尽管还是一种频分复用(FDM),但已完全不同于过去的FDM。OFDM的接收机实际上是通过FFT实现的一组解调器。它将不同载波搬移至零频,然后在一个码元周期内积分,其他载波信号由于与所积分的信号正交,因此不会对信息的提取产生影响。OFDM的数据传输速率也与子载波的数量有关。 从参考文献[16]得知:OFDM每个载波所使用的调制方法可以不同。各个载波能够根据信道状况的不同选择不同的调制方式,比如BPSK、QPSK、8PSK、16QAM、64QAM等等,以频谱利用率和误码率之间的最佳平衡为原则。通过选择满足一定误码率的最佳调制方式就可以获得最大频谱效率。 从参考文献[17]得知:无线多径信道的频率选择性衰落会使接收信号功率大幅下降,经常会达到30dB之多,信噪比也随之大幅下降。为了提高频谱利用率,应该使用与信噪比相匹配的调制方式。可靠性是通信系统正常运行的基本考核指标,所以很多通信系统都倾向于选择BPSK或QPSK调制,以确保在信道最坏条件下的信噪比要求,但是这两种调制方式的频谱效率很低。OFDM技术使用了自适应调制,根据信道条件的好坏来选择不同的调制方式。比如在终端靠近基站时,信道条件一般会比较好,调制方式就可以由BPSK(频谱效率1bit/s/Hz)转化成16QAM-64QAM(频谱效率4~6bit/s/Hz),整个系统的频谱利用率就会得到大幅度的提高。自适应调制能够扩大系统容量,但它要求信号必须包含一定的开销比特,以告知接收端发射信号所应采用的调制方式。终端还要定期更新调制信息,这也会增加更多的开销比特。 OFDM还采用了功率控制和自适应调制相协调工作方式。信道好的时候,发射功率不变,可以增强调制方式(如64QAM),或者在低调制方式(如QPSK)时降低发射功率。功率控制与自适应调制要取得平衡。也就是说对于一个发射台,如果它有良好的信道,在发送功率保持不变的情况下,可使用较高的调制方案如64QAM;如果功率减小,调制方案也就可以相应降低,使用QPSK方式等。 自适应调制要求系统必须对信道的性能有及时和精确的了解,如果在差的信道上使用较强的调制方式,那么就会产生很高的误码率,影响系统的可用性。OFDM系统可以用导频信号或参考码字来测试信道的好坏。发送一个已知数据的码字,测出每条信道的信噪比,根据这个信噪比来确定最适合的调制方式。 2.2 串并变换 利用离散反傅里叶变换(IDFT)或快速反傅里叶变换(IFFT)实现的OFDM系统,图 3-2所示。 图 2-2  OFDM 串并变换 从OFDM系统的实现模型可以看出,输入已经过调制的复信号经过串/并变换后,进行IDFT或IFFT和并/串变换,然后插入保护间隔,再经过数/模变换后形成OFDM调制后的信号s(t)。该信号经过信道后,接收到的信号r(t)经过模/数变换,去掉保护间隔,以恢复子载波之间的正交性,再经过串/并变换和DFT或FFT后,恢复出OFDM的调制信号,再经过并/串变换后还原出输入符号。 2.3 子载波调制 OFDM只是一种调制技术,而衡量一个系统的好坏不能只看一个系统是否是OFDM调制方式,而应该看该系统的实际实现方式,如子载波的数量就是一个最基本的因素。目前市场中通常设计的子载波数量有多种,如64、128、256、512、1024个子载波等,其中,子载波的数量越多,对多经干扰的抑制能力越强,反之越差。如采用64或128个差载波设计的系统对多经的抑制极为有限,但是设计简单,成本低,容易实现,反之,如512或1024子载波,对多经干扰抑制能力较强,但是成本较高,设计复杂,产品的成熟度较差[15]。 每个OFDM子载波符号中必须包含一个循环前导码,用来避免符号间干扰。但是循环前导码导致极大的开销,而且子载波越多,循环前导码开销越大,频谱利用率越低,这些子载波的开销加起来的总开销远大于单载波的开销。而开销大对于3.5GHz有限的频率资源是极为不利的。 从参考文献[13]得知:减少开销的办法是减少子载波的数量,但是,相应的抑制多经的能力也较差。显然,开销和系统性能是OFDM无法调和矛盾,对于3.5GHz无线接入频率资源少的情况就尤其明显。另外,每256个子载波中只有200个子载波用来传输有效载荷,其余的载波用来坐差错纠正编码、同步控制、重传等。每3.5MHz载波中有大于500KHz用来作频率隔离。如此可见,为了实现OFDM调制,系统需要牺牲很多宝贵的频率资源和特性,认为对频率资源有限的3.5GHz无线接入来说是非常不合算的。 子载波数目越多,有用信号越平坦,带外衰减也快,越接近矩形,越符合通信要求,但子载波数目不能过多,越接近矩形的结果对接收端的滤波器要求越高(只有理想滤波器才能过滤,否则就造成交调干扰)。因此在子载波数目的选择上要综合考虑传递信息的有效性和可行性。子载波数可以由信道带宽、数据吞吐量和有用符号持续时间T所决定: N=1/T,子载波数可以被设置为有用符号持续时间的倒数,其数值与FFT处理过的数据点相对应。 2.4 DFT的实现 OFDM的调制技术及DFT实现:正交频分复用技术的应用已有近40 年的历史,但直到70 年代,人们采用了离散傅立叶变换来实现多个载波的调制,简化了系统结构,使得OFDM技术更趋于实用化。80 年代,人们研究如何将OFDM 技术应用于高速MODEM。进入90 年代以来,OFDM 技术的研究深入到无线调频信道上的宽带数据传输。目前它已被广泛应用于广播式的音频和视频领域和民用通信系统中,主要的应用包括:非对称的数字用户环路(ADSL)、ETS I 标准的数字音频广播(DAB)、数字视频广播(DVB)、高清晰度电视(HDTV)、无线局域网(WLAN)等。OFDM 技术的最大优点是,对抗频率选择性衰落或窄带干扰。 在单载波系统中,单个衰落或干扰能够导致整个通信链路失败,但在多载波系统中,仅有很小一部分载波会受到干扰。对这些信道可以采用纠错码来进行纠错。可以有效地对抗信号波形间的干扰。适用于多径环境和衰落信道中的高速数据传输。当信道中因为多径传输而出现频率选择性衰落时,只有落在频带凹陷处的子载波以及其携带的信息受影响,其他的子载波未受损害,因此系统总的误码率性能要好的多。通过各子载波的联合编码,具有很强的抗衰落能力。OFDM 技术本身已经利用了信道的频率分集,如果衰落不是很严重,就没有必要再加时域均衡器。通过将各个信道联合编码,则可以使系统性能得到提高。OFDM 技术抗窄带干扰性很强,因为这些干扰仅仅影响到很小一部分的子信道。可以选用基于IFFT/FFT 的OFDM 实现方法。信道利用率很高,这一点在频谱资源有限的无线环境中尤为重要。 2.5 保护间隔与循环前缀 1)保护间隔(GI) 无线多径信道会使通过它的信号出现多径时延,这种多径时延如果扩展到下一个符号,就会造成符号问串扰,严重影响数字信号的传输质量。采用OFDM技术的最主要原因之一是它可以有效地对抗多径时延扩展。通过把输入的数据流经过串/并变换分配到N个并行的子信道上,使得每个用于去调制子载波的数据符号周期可以扩大为原始数据符号周期的N倍,因此时延扩展与符号周期的比值也同样可降低为1/N。在OFDM系统中,为了最大限度地消除符号间干扰,可以在每个OFDM符号之间插入保护间隔,而且该保护间隔的长度Tg一般要大于无线信道的最大时延扩展,这样一个符号的多径分量就不会对下一个符号造成干扰[8]。 当多径时延小于保护间隔时,可以保证在FFT的运算时间长度内,不会发生信号相位的跳变。因此,OFDM接收机所看到的仅仅是存在某些相位偏移的、多个单纯连续正弦波形的叠加信号,而且这种叠加也不会破坏子载波之间的正交性。然而,如果多径时延超过了保护间隔,则在FFT运算时间长度内可能会出现信号相位的跳变,因此在第一路径信号与第二路径信号的叠加信号内就不再只包括单纯连续正弦波形信号,从而导致子载波之间的正交性有可能遭到破坏,就会产生信道间干扰(ICI),使得各载波之间产生干扰。 2)循环前缀(CP) 为了消除由于多径传播造成的信道间干扰ICI,一种有效方法是将原来宽度为T的OFDM符号进行周期扩展,用扩展信号来填充保护间隔。将保护间隔内(持续时间用Tg表示)的信号称为循环前缀(CyclicPrefix,CP)。在实际系统中,当OFDM符号送入信道之前,首先要加入循环前缀,然后进入信道进行传送。在接收端,首先将接收符号开始的宽度为Tg的部分丢弃,然后将剩余的宽度为T的部分进行傅里叶变换,再进行解调。在OFDM符号内加入循环前缀可以保证在一个FFT周期内,OFDM符号的时延副本内所包含的波形周期个数也是整数,这样,时延小于保护间隔Tg的时延信号就不会在解调过程中产生信道间干扰ICI。 3  OFDM系统构成 3.1 OFDM系统组件构成 3.1.1 OFDM系统结构 OFDM调制解调与常规调制解调相比,所需的运算量大,尤其是当系统选用的子载波个数多时,仅在发射端的IFFT变换和接收端的FFT变换所需的时间就很长。通常使用FPGA和高速的DSP解决该问题。由于在接收端还要完成信号突发检测、同步和频偏校正等数字信号处理,所以接收端对实时性要求更高。在该系统中,使用FPGA完成信号的突发检测和定时,DSP完成FFT/IFFT变换和QAM/QPSK调制解调,系统硬件结构如图5-1。 图3-1 系统组成结构 DSP完成QAM或QPSK的调制解调和FFT/IFFT变换。系统所使用的DSP是ADI公司的TigersharcTS101。该DSP具有以下特性:最高工作频率为300 MHz,3.3 ns指令周期;6 MB片内SRAM;2个计算模块,每个模块都有1个ALU、1个乘法器、1个移位寄存器和1个寄存器组;2个整型ALU,用来提供寻址和指针操作;14个DMA控制器;1149.1 IEEE JTAG口。对于OFDM基带处理,该DSP最大的点是: 进行256点的复数FFT变换,仅需3.67 μs。 正交数字上变频器采用ADI公司的AD9857。AD9857最高工作频率为200MHz,输出中频频率范围为0~80MHz;内部集成半带滤波器、CIC(CascadedIntegrator Comb)滤波器, 反SINC滤波器和高速的14位数/模转换器,其核心是一个相位连续的直接数字频率合成器DDS (Direct Digital Synthesizer)。在该方案中,AD9857工作在正交调制模式,其32位频率控制字使输出频率的最高精确度为:SYSCLK(系统时钟)除以232。正交数字下变频器采用ADI公司的AD6654。AD6654内部集成了一个14位、92.16Msps的模/数转换器和4/6通道的数字下变频器。每个通道可独立配置。数字下变频内部集成了频率变换器、可编程级联梳状滤波器(CIC)、2个滤波器组和数字自动增益控制。其中:频率变换是通过32位数控振荡器实现的;CIC实现1~32倍的抽取;2个滤波器组包括FIR滤波器和2倍抽取的半带滤波器。输入的中频模拟信号经过ADC和频率变换后,使用滤波器组进行滤波和抽取,最后并行输出正交基带数字信号。输入中频信号频率最高可到200MHz,此时可采用欠采样技术。 3.1.2 OFDM系统的收发过程 OFDM信号发送和接收原理 OFDM 系统的基本原理就是将指配的信道分成许多正交子信道,在每个子信道上进行窄带调制和传输,信号带宽小于信道的相关带宽。 OFDM 信号的发送过程需要经过下面几个步骤:  1)编码:在基于 OFDM 调制技术的系统中,编码采用 Reed-Solomon 码、卷积纠错码、维特比码或 TURBO 码。  2)交织:交织器用于降低在数据信道中的突发错误,交织后的数据通过一个串并行转换器,将 IQ 映射到一个相应的星座图上。在这里 I 代表同相信号,Q 代表正交信号。 3)数字调制:在 OFDM 方式中,采用星座图将符号映射到相应的星座点上。这一过程产生 IQ 值,它们被过滤并送到 IFFT 上进行变换。  4)插入导频:为了能够使接收稳定,在每 48 个子载波中插入 4 个导频信息。 5)串并转换:使串行输入的信号以并行的方式输出到 M 条线路上。这 M 条线路上的任何一条上的数据传输速率则为 R/M 码字/秒。 6)快速傅立叶逆变换:快速傅立叶逆变换可以把频域离散的数据转化为时域离散的数据。由此,用户的原始输入数据就被 OFDM 按照频域数据进行了处理。 7)并串转换:用于将并行数据转换为串行数据。 8)插入循环前缀并加窗:循环前缀为单个的 OFDM 符号创建一个保护带,在信噪比边缘损耗中被丢掉,以极大地减少符号间干扰。 接收器完成与发送器相反的操作。接收器收到的信号是时域信号。由于无线信道的影响发生了一定的变化,首先要通过训练序列定时和频率偏移进行估计,同时将符号的定时信息传送到去循环前缀功能模块,在这里训练序列和导频信息主要是用来信道纠错。然后将信号经过一个串行一并行的转换器,并且把循环前缀清除掉。清除循环前缀并没有删掉任何信息,循环前缀中的信息是冗余的,使用循环前缀是为了保证前面提到的卷积特性的成立。总体来说整个接收过程需要经过下面几个步骤:①定时和频率同步,②去循环前缀,③串并转换,④快速傅里叶变换,⑤并串转换,⑥信道校正,⑦数字解调,⑧去交织,⑨解调。 3.2  OFDM系统采用的关键技术 3.2.1 同步技术  在 OFDM 系统中,N 个符号的并行传输会使符号的延续时间更长,因此它对时间的偏差不敏感。对于无线通信来说,无线信道存在时变性,在传输中存在的频率偏移会使 OFDM 系统子载波之间的正交性遭到破坏,相位噪声对系统也有很大的损害。由于发送端和接受端之间的采样时钟有偏差,每个信号样本都一定程度地偏离它正确的采样时间,此偏差随样本数量的增加而线性增大,尽管时间偏差破坏子载波之间的正交性,但是通常情况下可以忽略不计。当采样错误可以被校正时,就可以用内插滤波器来控制正确的时间进行采样。 对于要求子载波严格同步的 OFDM 系统来说,载波的频率偏移所带来的影响会更加严重,如果不采取措施对这种信道间干扰(ICI)加以克服,系统的性能很难得到改善。OFDM 中的同步通常包括 3 方面的内容:①帧检测,②载波频率偏差及校正,③采样偏差及校正。 从参考文献[5]得知:由于同步是 OFDM 技术中的一个难点,因此,很多人也提出了很多 OFDM 同步算法,主要是针对循环扩展和特殊的训练序列以及导频信号来进行,其中较常用的有利用奇异值分解的ESPRIT 同步算法和 ML 估计算法,其中 ESPRIT 算法虽然估计精度高,但计算复杂,计算量大,而 ML 算法利用 OFDM 信号的循环前缀,可以有效地对 OFDM 信号进行频偏和时偏的联合估计,而且与 ESPRIT 算法相比,其计算量要小得多。对 OFDM 技术的同步算法研究得比较多,需要根据具体的系统具体设计和研究,利用各种算法融合进行联合估计才是可行的。OFDM系统对定时频偏的要求是小于 OFDM 符号间隔的 4%,对频率偏移的要求大约要小于子载波间隔的 1%~2%,系统产生的 3dB 相位噪声带宽大约为子载波间隔的 0.01%~0.1%。 3.2.2 功率峰值与均值比 OFDM 包络的不恒定性可以用 PAPR 来表示。PAPR(Peak to Average Power Ratio)是峰值功率与平均功率之比。PAPR 越大,系统的包络的不恒定性越大。因此要改善系统性能,就是要设法减小 PAPR。 由于 OFDM 信号为多个正弦波的叠加,当子载波个数多到一定程度时,由中心极限定理,OFDM 符号波形将是一个高斯随机过程,其包络是不恒定的。这种现象在非线性限带信道中是不希望出现的,经非线性放大器后,包络中的起伏虽然可以减弱或消除,但与此同时却使信号频谱扩展,其旁瓣将会干扰临近频道的信号。这在 OFDM 系统中将引起相邻信道之间的干扰,破坏其正交性。一般而言,发射机中的高频放大器 HPA 具有很强的非线性特征。为了不使频谱扩展得太厉害,HPA 必须工作在有很大回退量(Back off)的状态,这样会浪费很大功率。因此如果没有改善 OFDM 对非线性的敏感性的措施,OFDM 技术将不能用于使用电池的传输系统,如手机等移动设备。一般通过以下几种技术解决。 1)限幅(Clipping)技术:是一种简单而有效的降低 PAPR 的方法,但是它可以导致带内信号的失真和带外频谱弥散,从而使误码率性能恶化。高速率编码是一种对信码进行的简单编码,它可以从统计特性上降低大的 PAPR 出现的概率。  2)编码技术:分组编码的方法既可以绝对地降低 PAPR,也具有一定的纠错能力。OFDM信号的复包络依赖于发送数据信号序列的非周期自相关函数旁瓣。如果旁瓣小,则信号的起伏就小,即 PAPR 小,就可以得到准恒定(Quasi-Constant)幅度信号。因此,需要寻找自相关函数旁瓣小的发送信号序列。Golay 二进制序列(即 Complementary)就是一种旁瓣小的序列。即使是它扩展到多相位序列,也仍然满足旁瓣小的特性。可以证明,Golay 序列的PAPR 不超过 3dB。基于互余序列的分组码的基木思想就是避免使用 PAPR 高的码子。通过采用基于互余序列的分组码,在 PAPR 的控制在 5-6dB 情况下,系统可以得到很大的编码增益,并改善了 error-floor 性能。 3)扰码技术:采用扰码技术,使生成的 OFDM 的互相关性尽量为 0,从而使 OFDM 的PAPR 减少。这里的扰码技术可以对生成的 OFDM 信号的相位进行重置,典型的有 PTS 和 SLM。 4)训练序列和导频及信道估计技术  从参考文献[6]得知:接收端使用差分检测时不需要信道估计,但仍需要一些导频信号提供初始的相位参考,差分检测可以降低系统的复杂度和导频的数量,但却损失了信噪比。尤其是在 OFDM 系统中,系统对频偏比较敏感,所以一般使用相干检测。  从参考文献[5]得知:在系统采用相干检测时,信道估计是必须的。此时可以使用训练序列和导频作为辅助信息,训练序列通常用在非时变信道中,在时变信道中一般使用导频信号。在 OFDM 系统中,导频信号是时频二维的。为了提高估计的精度,可以插入连续导频和分散导频,导频的数量是估计精度和系统复杂的折衷。导频信号之间的间隔取决于信道的相干时间和相干带宽,在时域上,导频的间隔应小于相干时间;在频域上,导频的间隔应小于相干带宽。 3.3 OFDM系统基本结构 一个完整的OFDM系统原理图 3-1所示。OFDM的基本思想是将串行数据,并行地调制在多个正交的子载波上,这样可以降低每个子载波的码元速率,增大码元的符号周期,提高系统的抗衰落和干扰能力,同时由于每个子载波的正交性大大提高了频谱的利用率,所以非常适合移动场合中的高速传输。 图3-2  OFDM原理框架 在发送端,输入的高比特流通过调制映射产生调制信号,经过串并转换变成N条并行的低速子数据流,每N个并行数据构成一个OFDM符号。插入导频信号后经快速傅里叶反变换(IFFT)对每个OFDM符号的N个数据进行调制,变成时域信号为: (3-1) 式(3-1)中:m为频域上的离散点;n为时域上的离散点;N为载波数目。为了在接收端有效抑制码间干扰(InterSymbol Interference,ISI),通常要在每一时域OFDM符号前加上保护间隔(Guard Interval,GI)。加保护间隔后的信号可表示为式(3-2),最后信号经并/串变换及D/A转换,由发送天线发送出去。 (3-2) 接收端将接收的信号进行处理,完成定时同步和载波同步。经A/D转换,串并转换后的信号可表示为: (3-3) 然后,在除去CP后进行FFT解调,同时进行信道估计(依据插入的导频信号),接着将信道估计值和FFT解调值一同送入检测器进行相干检测,检测出每个子载波上的信息符号,最后通过反映射及信道译码恢复出原始比特流。除去循环前缀(CP)经FFT变换后的信号可表示为: (3-4) 在(3-4)式中:Y(m)为信道y(n)的傅里叶转换;Z(m)为符号间干扰和载波间干扰z(n)的傅里叶变换;W(m)是加性高斯白噪声w(n)的傅里叶变换。 4 OFDM系统的MATLAB实现 4.1 本设计系统的特点 此次设计的特点在于仿真搭建一个OFDM系统结构,进行结构性的仿真,利用OFDM系统中的诸多优势,我通过用现实中的工作中的系统——DBV-T作为实例,它能够给OFDM的原理提供一个更好的解释。 一个好的系统设计必须可以避免ISI和ICI,至少将它们抑制到可接受的程度。也就是说,要选择一个足够的CP以防止由频率选择性衰落而引起的ISI和ICI,同时要选择适当的OFDM符号长度,使信道冲激响应(CIR)至少在一个OFDM符号期间内是不变的。 由于OFDM系统对频偏和相位噪声敏感,因此OFDM子载波宽度必须仔细选定,既不能太大也不能太小。因为OFDM符号周期和子载波带宽成反比,所以在一定的CP长度下,子载波宽度越小,则符号周期就越大,频谱效率也就越高(因为每个OFDM符号前都要插入一个CP,CP是系统开销,不传输有效数据)。但如果子载波宽度过小,对频偏过于敏感,则难以支持高速移动的终端。 CP长度的选择与无线信道的时延扩展和小区的半径大小息息相关,时延扩展和小区半径越大,需要的CP也越长。另外,在宏分集广播系统中,由于终端收到各基站同时发出的信号,为了避免由于传输延迟差造成的干扰,需要额外加长CP。 4.2 matlab仿真环境 MATLAB的名称源自Matrix Laboratory,它是一种科学计算软件,专门以矩阵的形式处理数据。MATLAB将高性能的数值计算和可视化集成在一起,并提供了大量的内置函数,从而被广泛地应用于科学计算、控制系统、信息处理等领域的分析、仿真和设计工作,而且利用MATLAB产品的开放式结构,可以非常容易地对MATLAB的功能进行扩充,从而在不断深化对问题认识的同时,不断完善MATLAB产品以提高产品自身的竞争能力。 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。  MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。 MATLAB的基本数据单位是矩阵,它的指令表达式与数学、工程中常用的形式十分相似,故用MATLAB来解算问题要比用C,FORTRAN等语言完成相同的事情简捷得多,并且MATLAB也吸收了像Maple等软件的优点,使MATLAB成为一个强大的数学软件。在新的版本中也加入了对C,FORTRAN,C++,JAVA的支持。可以直接调用,用户也可以将自己编写的实用程序导入到MATLAB函数库中方便自己以后调用,此外许多的MATLAB爱好者都编写了一些经典的程序,用户可以直接进行下载就可以用。 4.3 软件结构框架 5 OFDM运算及仿真结果分析 5.1 OFDM仿真 OFDM的仿真为了配合它的实际应用性,使用欧洲DVB-T标准中的发射规则,OFDM的详细描述表达如下式(5-1)所示: (5-1) 在公式(5-1)中,di代表复杂的调制器,Ns是载波的数目,T为OFDM符号的持续时间,fc是载波频率。公式(5-2)的详细的说明在DVB-T的标准中给出作为发射信号。 它的表达式是: (5-2) (5-3) 其中,k 代表载波数量;I 代表 OFDM符号数目;m代表 发射的帧的数目;K是被发射的载波数目;Ts是符号持续时间;Tu是载波间隔的倒数;△是保护间隔的持续时间;fc是无线电信号的中心频率;k是中心频率相关载波频率索引; Cm,o,k代表第一条数据传输线路上第k跳载波用第m个帧的复杂结构。 OFDM信号被组成帧格式发送。从上式(5-3)中可看出每一个帧结构有TF的持续时间并且有68个OFDM符号组合在一起,四个帧结构组成一个超帧,每一个OFDM符号都是被工作在2k赫兹上的1705个载波组成,并且带着TS的持续时间发送出去。TS是由持续时间Tu的有用部分和保护间隔持续时间△组成。在2k模式下的OFDM的参数的各个值都列在表5-1中。 表5-1 2K模式下的OFDM仿真参数的数字评估 参数 2K模式 基本周期T 7/64us(TU/2048) 载波数量K 1,705 最小载波数量Kmin 0 最大载波数量Kmax 1,704 持续时间Tu 224us 载波间距1/Tu 4,464Hz 载波Kmin和Kmax(K-1)/Tu之间的间距 7.61MHz 允许的保护△/Tu 1/4 符号持续时间Tu 2,048xT 保护间隔持续时间 512 xT 符号持续时TS =△+Tu 2,560xT     OFDM在DSP中得到了大量应用,令在DVB-Tt=0到 t= Tu通过(5-3),可以得到: (5-4) 在公式(5-3)和IDFT之间有一个很明确的共同之处 (5-5) 为了执行DFT和它的逆运算,FFT算法可产生N个采样对应于每一个符号的Ts长度的持续时间。保护间隔是额外被加上的,在通过复制这些采样的最后N/△Tu长的同时添加它们在前面,与之并发的一个变化就是把真正的信号s(t)放到fc的中心频率上。第一个需要考虑的任务是OFDM的频谱的中心频率是fc。如一个载波7.61/2MHz的左侧,1705个载波在7.61/2MHz的右侧。获得这个中心频率一个简单的方法就是使用一个2N-IFFT算法和T/2作为初始周期,如同在表5-1中看到的,OFDM的符号持续时间Tu,是被指定作为2048点的IFFT,因此,将用4096点的IFFT。总体可把其描述如下图5-1。 图5-1 OFDM信号产生过程 5.2 仿真结果及分析 % (B)点的副载波生成 carriers=FS.*ifft(info,FS);  %离散傅立叶变换的逆变换 tt=0:T/2:Tu; figure(1); %建立第一幅图 subplot(211);% 接收参数(意在分层见图) stem(tt(1:20),real(carriers(1:20))); %意在绘制二维离散数据的火柴杆图 subplot(212); stem(tt(1:20),imag(carriers(1:20))); figure(2); f=(2/T)*(1:(FS))/(FS);%函数运算 subplot(211); plot(f,abs(fft(carriers,FS))/FS); %离散傅立叶变换的运算绘图 [Pxx,f]=pwelch(carriers,[],[],[],2/T);% 把数据分段,相邻两段之间有重叠的对每段数据加窗后求功率谱密度,通过Qoe算法最后对各段功率谱密度求和后求出均值,该均值就是pwelch的数值。 plot_Pxx1=10*log10(Pxx); subplot(212); plot(f,plot_Pxx1); 下图5-2的仿真结果表明:恰好产生了一个随机的数字信号 ,在增添4096-1705=2391个零给信号信息在A中去采样,2X并且集中在频谱。 图5-2 信号时域响应在B 图5-3 信号频域响应在B 结合图5-2、图5-3,能观测到这个信号产生的结果和信号载波均用T/2作为它的时间周期,能看到载波是离散的时间基带信号,用这种信号在基带离散时间区域模拟仿真,可发现OFDM的缺点是出现在不连续时间领域。 图5-4 g(t)的脉冲波形 图5-5 D/A 滤波器响应 为解决上述缺点,提供一个连续的时间信号的第一步是提供一个发射滤波器,g(t)为这个复杂的信号载波,g(t)的脉冲响应或者脉冲波形如图5-4所示,该仿真结果表明:g(t)的脉冲波形的规律性恰好体现了OFDM技术响应的快速性。 图5-5的结果表明:它是一个13阶巴特沃思滤波器,并且近似1/T的下降频率。滤波器的输出信号如图5-8和图5-9。值得注意的是滤波器产生了近似 s的延迟。在延迟的一边,滤波器除了基带频谱其它的所有都被滤除了,853至1705的子载波位于0Hz的右边,1到852的子载波位于4fc的左边。 图5-6与图5-7的结果表明:频率响是周期作为离散时间系统的响应需要。 U(t)’S的周期是2/T,并且有(2/T=18.286-7.61)=10.675MHz的带宽可以作为重塑滤波器。用个N点的IFFT,有(1/T=9.143)-7.61=1.533MHz的传输带宽;因此要求一个快速的滚降,高复杂性,在重建滤波器去避免混淆现象。 图5-6  信号在C点的时间响应 图5-7 信号在C点的频率响应 图5-8 信号D点的时间响应 图5-9 信号在D点的频率响应波形 图5-8和图5-9的结果表明:在通过滤波器以后很明显的与滤波前有明显的差异,得到理想的滤波效果,在滤波的频域范围内频域响应分布连续。 执行Uo(t)多元的双边带幅度调制的积分过程,在这部分调制过程, m1(t)是一个相位信号,mQ(t)是一个积分信号同时在下面的这个公式中mQ(t)是被调信号: [5-6] 公式[5-4]能够被扩展如下: [5-7] 在这个式中能够明显的看出相位信号和积分信号分别是5-QAM, cm,I,K中的真实部分和假象部分。则相应的IFFT的操作过程是: [5-8] 公式[5-8]的每一部分的频率响应分别被展示在图5-10和图5-11中。 图5-10  uo ft1cos(2πfc) 频率响应(实部图像响应) 图5-11 uo ft1sin(2πfc)信号的频率响应 (虚部图像响应) 图5-12 信号在E处的时间响应 图5-13 信号在E处的频率响应 全部信号s(t)的时间和频率响应被展示在图5-12和图5-13中。由图5-12可以看到正交分频载波已经产生,使用该算法在获得较高质量的载波以及系统平均QoE的同时,根据系统功率资源供求情况,平衡考虑了系统、容量以及用户公平性。 因此,OFDM的具体优势也可以归结如下: 1)抗衰落能力强。OFDM把用户信息通过多个子载波传输,在每个子载波上的信号时间就相应地比同速率的单载波系统上的信号时间长很多倍,使OFDM对脉冲噪声和信道快衰落的抵抗力更强。 2)频率利用率高。OFDM允许重叠的正交子载波作为子信道,而不是传统的利用保护频带分离子信道的方式,提高了频率利用效率。 3)OFDM技术能够持续不断地监控传输介质上通信特性的突然变化,以保证持续地进行成功的通信。 4)OFDM技术抗窄带干扰性很强,适合高速数据传输。 5) 采用了循环前缀,抗码间干扰(ISI)能力强。 6  总结 我在此次毕业设计中学会了许多的东西,学会了对MATLAB软件的初步使用,学会了对该软件的操作、语言的特点和怎样应用该类语言,最重要的是初步实现了OFDM系统的搭建以及正交分频复用技术的仿真,由于本人的能力有限,更多的进步有赖于进一步的研究。 从OFDM的基本原理入手,详细论述载波频率同步方面的问题。另外,OFDM是一种对抗多径和频率选择性的技术,通常插入导频跟踪信道变化。在保证子载波正交性的前提下,通过每个子信道上的单抽头均衡器就可以消除多径影响。基于以上分析,更具现有的标准,提出了一套新的载波频率同步和信道估计算法。利用同一特殊结构训练帧,采用不同的算法,同时进行频偏捕获和信道估计。理论分析和仿真结果证明频偏捕获算法具有内在的抗多径性能,而且不受定时误差的影响。算法的精度高,捕获的范围大,而且可以根据需要灵活调整 值来满足要求。 此次的设计都是基于较为理想的环境而设定的,这必然与现有的技术有些差别。即使仿真结果实现了,但并不代表硬件一定能实现。由于条件有限,对于硬件的搭建与实现并没有去做,只是纯粹的软件仿真。虽然是软件仿真,但这对于硬件的实现必然有很大的帮助。 虽然OFDM已成为新一代无线通信最有竞争力的技术,但这种技术也存在一些内在的局限和设计中必须注意的问题: 1)OFDM子载波可以按两种方式排列:集中式和分布式。集中式即将若干连续子载波分配给一个用户,这种方式下系统可以通过频域调度选择较优的子载波组进行传输,从而获得多用户分集增益。另外,集中方式也可以降低信道估计的难度。但这种方式获得的频率分集增益较小,用户平均性能略差。 分布式系统将分配给一个用户的子载波分散到整个带宽,从而获得频率分集增益。但这种方式下信道估计较为复杂,也无法采用频域调度。设计中应根据实际情况在上述两种方式中灵活进行选择。 2)OFDM系统由于发送频域信号,峰平比(PAPR)较高,从而会增加了发射机功放的成本和耗电量,不利于在上行链路实现(终端成本和耗电量受到限制)。在未来的上行移动通信系统中,很可能将采用改进型的OFDM技术,如DFT-S(离散傅丽叶变换扩展)-OFDM或带有降PAPR技术(子载波保留、削波)的OFDM。 3)频偏问题和相位噪声 OFDM系统由于子载波宽度较窄,对频偏和相位噪声敏感(导致子载波间正交性恶化)。因此OFDM子载波宽度必须仔细选定,既不能太大(频谱效率较低),也不能太小(难以支持高速移动)。 4)信道估计和导频设计 OFDM系统的信道估计,从某种意义上讲,比单载波复杂。需要考虑在获得较高性能的同时尽可能减小开销。因此导频插入的方式(时分复用还是频分复用)及导频的密度都需要认真考虑。 致  谢 毕业论文暂告收尾,这也意味着我在桂林理工大学的学习生活即将结束。在此次毕业设计中,在思想上和学习上使我受益非浅。除了自己的努力外,和各位老师、同学和朋友的关心、支持和鼓励都是分不开的。 OFDM论文是在老师的细心指导、家长的鼓励和朋友们的帮助下完成的。在此,我要感谢我的父母,生我、养我、教育我、支持我,我要感谢我的导师金红老师,从最初的定题、到资料收集、框架的设计、结构的布局、到写作和修改、到论文定稿、到最终的论文定稿,从内容到格式,她都给予我细心的建议以及如何修改。同时,感谢所有任课老师以及辅导员陈老师,在这三年来给自己的指导和帮助,是他们教会了我专业知识,教会了我如何学习,教会了我如何做人。因为有他们,所以我能在各方面取得显著的进步,在此向他们表示我由衷的感谢,并祝所有的老师培养出越来越多的优秀人才,桃李满天下!感谢电子信息工程的各位同学,与她们的交流使我受益颇多。 由于自身专业水平有限,整篇论文肯定存在尚未发现的缺点和错误。恳请阅读此篇论文的老师、同学,多予指正,不胜感激! 参考文献 [1]Theodore S. Rappaport:《Wireless Communications Principles and Practice》,电子工业出版社,2002 [2]胡捍英,杨峰义.第三代移动通信系统.中国通信学会主编.人民邮电出版社.2010 [3] 樊昌信,张甫栩,徐炳祥,吴成柯.通信原理.第五版.国防工业出版社.2001 [4] 佟学俭、罗涛.OFDM移动电子信息工程原理与应用.第一版.人民邮电出版社.2008 [5] 徐明远、邵玉斌.MATLAB仿真在通信与电子工程中的应用.第一版.西安电子科技大学出版社.2008 [6] 陈怀琛,吴大正,高西全.MATLAB及在电子信息课程中的应用.第一版.电子工业出版社.2006 [7] 郑君里,应启衍,杨为理.信号与系统.第二版.北京高等教育出版社.2007 [8] 丁玉美,高西全.数字信号处理.第二版.西安电子科技大学出版社.2008 [9] 纪红.基于OFDM的高速无线Internet若干关键技术的研究.北京邮电大学博士论文2009 [10] 丁杰伟,张俊峰,刘太江.移动通信空间资源的利用.通讯世界.2008(3) [11] 陈怀琛,王朝英,高西全等译.Ingle V K,Proakis J G著.数字信号处理及其MATLAB实现.电子工业出版社.2008 [12] 楼顺天,李博菡.基于MATLAB的系统分析与设计-信号处理.西安电子科技大学出版社.2010 [13] 吕志勇,张更新.未来的第四代移动通信系统.移动通信,2009(8):9~11 [14]佟学俭,罗涛.OFDM移动电子信息工程原理与应用.北京:人民邮电出版社,2008 [15]Juha Heiskala,John Terry.OFDM无线局域网.北京:电子工业出版社,2008 [16]Van Nee R.D.J ,Prasad Ramjee:《OFDM for Wireless Multimedia Communication》,Artech House,2008 [17]Henry L.Bertonic:《Radio Progation for Modern Wireless Systems》,电子工业出版社,2009 附录 %DVB-T 2K 传输 % 有效带宽是 8 MHz %2K 是用于移动服务 clear all; close all; %DVB-T Parameters Tu=224e-6; %使用OFDM符号周期 T=Tu/2048; %基带的初级周期 G=1/4; %选择1/4, 1/8, 1/16, and 1/32 delta=G*Tu; %保护带持续 Ts=delta+Tu; %总的OFDM符号周期 Kmax=1705; %子载波数 Kmin=0; FS=4096; %IFFT/ FFT长度 q=10; %低阶段比载波周期 fc=q*1/T; %载波频率 Rs=4*fc; %仿真周期期 t=0:1/Rs:Tu; %数据发生器 (A) M=Kmax+1; rand('state',0); %对矩阵计算的随机数取整数 a=-1+2*round(rand(M,1)).'+i*(-1+2*round(rand(M,1))).'; A=length(a);%求上面a的长度 info=zeros(FS,1); info(1:(A/2)) = [ a(1:(A/2)).']; %零填充 info((FS-((A/2)-1)):FS) = [ a(((A/2)+1):A).']; %副载波生成  (B) carriers=FS.*ifft(info,FS); tt=0:T/2:Tu; figure(1); subplot(211); stem(tt(1:20),real(carriers(1:20)));%画火柴图 subplot(212); stem(tt(1:20),imag(carriers(1:20))); figure(2); f=(2/T)*(1:(FS))/(FS); subplot(211); plot(f,abs(fft(carriers,FS))/FS); [Pxx,f]=pwelch(carriers,[],[],[],2/T); plot_Pxx1=10*log10(Pxx); subplot(212); plot(f,plot_Pxx1); % D/A 模拟  L = length(carriers);%对L求长 chips = [ carriers.';zeros((2*q)-1,L)];%滤波阶层运算 p=1/Rs:1/Rs:T/2; g=ones(length(p),1); %脉冲形状 figure(3); %绘制图 stem(p,g); dummy=conv(g,chips(:)); u=[dummy(1:length(t))]; % (C) figure(4); subplot(211); plot(t(1:400),real(u(1:400))); subplot(212); plot(t(1:400),imag(u(1:400))); figure(5); ff=(Rs)*(1:(q*FS))/(q*FS); subplot(211); plot(ff,abs(fft(u,q*FS))/FS); [Pxx,f]=pwelch(u,[],[],[],Rs); plot_Pxx2=10*log10(Pxx); subplot(212); plot(f,plot_Pxx2); [b,a] = butter(13,1/20); %重建滤波器 [H,F] = freqz(b,a,FS,Rs); figure(6); plot(F,20*log10(abs(H))); uoft = filter(b,a,u); %基带信号(D) figure(7); subplot(211); plot(t(80:480),real(uoft(80:480))); subplot(212); plot(t(80:480),imag(uoft(80:480))); figure(8); subplot(211); plot(ff,abs(fft(uoft,q*FS))/FS); [Pxx,f]=pwelch(uoft,[],[],[],Rs); plot_Pxx3=10*log10(Pxx); subplot(212); plot(f,plot_Pxx3); %Upconverter s_tilde=(uoft.').*exp(1i*2*pi*fc*t); s=real(s_tilde); %通带信号(E) figure(9); plot(t(80:480),s(80:480)); figure(10); subplot(211); plot(ff,abs(fft(s,q*FS))/FS); [Pxx,f]=pwelch(s,[],[],[],Rs); plot_Pxx4=10*log10(Pxx); subplot(212); plot(f,plot_Pxx4); figure(11); subplot(211); plot(ff,abs(fft(((real(uoft).').*cos(2*pi*fc*t)),q*FS))/FS); [Pxx,f]=pwelch(((real(uoft).').*cos(2*pi*fc*t)),[],[],[],Rs); plot_Pxx5=10*log10(Pxx); subplot(212); plot(f,plot_Pxx5); figure(12); subplot(211); plot(ff,abs(fft(((imag(uoft).').*sin(2*pi*fc*t)),q*FS))/FS); [Pxx,f]=pwelch(((imag(uoft).').*sin(2*pi*fc*t)),[],[],[],Rs); plot_Pxx6=10*log10(Pxx); subplot(212); plot(f,plot_Pxx6);
本文档为【桂林理工大学 ——OFDM设计论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_037433
暂无简介~
格式:doc
大小:138KB
软件:Word
页数:0
分类:
上传时间:2019-09-12
浏览量:13