首页 Vivado 用户约束sdc文件常用命令

Vivado 用户约束sdc文件常用命令

举报
开通vip

Vivado 用户约束sdc文件常用命令Vivado 用户约束sdc文件常用命令 1.外部时钟输入的约束如下: create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)] 2.已建立的时钟改名 create_generated_clock -name (clock name) [get_pins (path)] 3.input/output delay设置 set_i...

Vivado 用户约束sdc文件常用命令
Vivado 用户约束sdc文件常用命令 1.外部时钟输入的约束如下: create_clock -period (clock period) -name (clock name) -waveform { (Traise), (Tfall) } [get_ports (clock port name)] 2.已建立的时钟改名 create_generated_clock -name (clock name) [get_pins (path)] 3.input/output delay设置 set_input_delay -clock [get_clocks (clock name)] (delay time ns) [all inputs] set_output_delay -clock [get_clocks (clock name)] (delay time ns) [all outputs] 4.建立时钟组 set_clock_groups -name (group name) -asynchronous -group {(clock name) (clock name) } set_clock_groups -name (group name) -asynchronous -group [get_clocks (clock name)] 5.管脚分配 set_property PACKAGE_PIN (pin location) [get_ports (port name)] set_property IOSTANDARD (level:LVDS,LVCMOS18,LVCMOS33 etc.) [get_ports (port name)] 6.管脚作为时钟线 set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets (port_name)] 7.管脚拉高 set_property PULLUP true [get_ports (port name)] 8.当vivado报错说有某些管脚没有分配时,加下面两句 set_property SEVERITY {Warning} [get_drc_checks NSTD-1] set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
本文档为【Vivado 用户约束sdc文件常用命令】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_574951
暂无简介~
格式:doc
大小:12KB
软件:Word
页数:0
分类:互联网
上传时间:2019-09-20
浏览量:32