首页 时序逻辑

时序逻辑

举报
开通vip

时序逻辑 东南大学电工电子实验中心 实 验 报 告 课程名称:        计逻实验                  第 3 次实验 实验名称:      时序逻辑电路                                                                  院 (系): 吴健雄学院      专    业: 电类强化班              姓    名: 王伟康             学    号:  61010110                实 验 室:...

时序逻辑
东南大学电工电子实验中心 实 验 报 告 课程名称:        计逻实验                  第 3 次实验 实验名称:      时序逻辑电路                                                                  院 (系): 吴健雄学院      专    业: 电类强化班              姓    名: 王伟康             学    号:  61010110                实 验 室:                 实验组别:                  同组人员:              实验时间:2011年11月7日  评定成绩:              审阅教师:                    一、 实验目的 1. 掌握时序逻辑电路的一般设计过程; 2. 掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 ; 3. 掌握时序逻辑电路的基本调试方法; 4. 熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、 实验原理 1. 时序逻辑电路与组合逻辑电路的区别:有记忆功能,其任意时刻的输出不仅取决于当时的输入信号,还取决于电路原来的输出值。 2. 时序逻辑电路的基本单元:触发器 常用时序功能块:计数器,移位寄存器  用其构成任意进制计数器,序列发生器,分频器等,多种方法组合运用,同步异步 3. 状态机的实现  实质:状态循环+编码 三、 实验内容 必做实验: A)4.4节 实验:触发器设计时序逻辑电路 内容2.广告流水灯 a. 实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 1 写出设计过程,画出设计的逻辑电路图,按图搭接电路。 2 将单脉冲加到系统时钟端,静态验证实验电路。 3 将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并 记录 混凝土 养护记录下载土方回填监理旁站记录免费下载集备记录下载集备记录下载集备记录下载 时钟脉冲CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b. 实验数据 设计电路(真值 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 、卡诺图、函数表达式、电路原理图): 答:卡诺图如下 Q1Q0 Q2 00 01 11 10 0 001 010 100 011 1 101 110 000 111           计数器中三个触发器的逻辑方程分别为: 电路图如下: 静态验证: 答:(自拟表格) 数据分析:从静态验证的真值表上可看出该电路 实现了由8个LED组成广告流水灯,工作时1暗7亮,向右移。 动态验证: 答:波形记录: 数据分析: 八个灯泡的点位依次从1变为0,实现了1暗7亮流水灯。 B)4.6节 实验:用时序功能块设计时序电路 内容2.序列发生器 a. 实验要求 分别用MSI计数器和移位寄存器设计一个具有自启动功能的01001序列信号发生器。 写出设计过程,画出电路逻辑图。 搭接电路,并用单脉冲静态验证实验结果。 加入TTL连续脉冲,用双踪示波器和逻辑分析仪观察并记录时钟脉冲CLK、序列输出端的波形。 b. 实验数据 设计电路(真值表、卡诺图、函数表达式、电路原理图): 答: 一、 用计数器实现 先设计一个模5的计数器,然后输出Y=∑m(1,4),即可得01001序列。 电路图如下: 二、 移位寄存器实现 由于01001序列的位数为5,需要3位移位寄存器实现,序列从QA端输出。状态转换表如下图。 状态转移表: 顺序 QC QB QA D0 S1 功能 有效状态 0 0 1 0 1 0 右移 1 1 0 1 0 0 右移 2 1 0 0 0 0 右移 3 0 0 0 1 0 右移 4 0 0 1 0 1 置数 无效 状态 5 0 1 1 0 0 右移 6 1 1 0 0 0 右移 7 1 1 1 0 0 右移                 可得S1=QA QB QC D0= QA QC 电路图如下: 静态验证: 答:(自拟表格) 数据分析:静态验证表明,输出序列为01001。 动态验证: 答:波形记录: 逻辑分析仪记录结果如下: 观察波形如下: 数据分析:用双踪显示,确实实现了01001序列的生成。 内容3 小数分频器(改用可编程逻辑器件完成,实验内容有所修改,详情见课程网站说明) a. 实验要求 设计一个8/7分频器。 根据实验要求,拟出设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。 根据设计方案选择器件,并查阅所用器件的功能表和引脚图。 写出设计过程,画出电路逻辑图和实验接线图并标出引脚号。 ④  用逻辑分析仪观察时钟脉冲CLK和各计数器输出端的波形。 ⑤  用逻辑分析仪对时钟脉冲CLK和各计数器输出端的信号做状态分析。 b. 实验数据 设计电路(真值表、卡诺图、函数表达式、电路原理图): 首先设计模8计数器,到111时Y=QAQBQC与时钟进行与运算,输出即为分频后的时钟。 静态验证: 答:(自拟表格) 数据分析:静态验证表明,序列每7个1产生1个1,实现了8/7分频器。 动态验证: 答: 波形记录: 状态分析:波形显示每一个周期,有7个上升沿,实现了8/7分频器。 内容6.行列式键盘识别电路(改用可编程逻辑器件完成) a. 实验要求 设计一个电路,当按下4×4矩阵键盘中的任何一个键时,在数码管上显示相应的键值。其中键码可通过ROM查表的方式来实现,数码管可选择是BCD输入还是七段输入,实验箱上的4×4键盘上拉电阻已经在内部连接好。 根据实验要求,拟出设计方案。 根据设计方案选择器件,并查阅所用器件的功能表和引脚图。 写出设计过程,画出电路逻辑图和实验接线图并标出引脚号。 ④  用逻辑分析仪观察时钟脉冲CLK和各计数器输出端的波形。 ⑤  用逻辑分析仪对时钟脉冲CLK和各计数器输出端的信号做状态分析。 b. 实验数据 设计电路(真值表、卡诺图、函数表达式、电路原理图): 电路设计思路是,用计数器和译码器产生0111,1011,1101,1110序列,对键盘的行进行扫描,扫描到有键按下时,COL1~COL4的与为0,接入计数器的使能端,则此时行列8个状态都为确定,可知键盘上的数为4*行数+列数,即前两位为扫描时,计数器输出的A4,A3,后两位为四列输出,对应关系的真值表为 C1 C2 C3 C4 A2 A1 0 1 1 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 0 1 1             可得A2=C1C2, A1=C1C3 由此获得A4A3A2A1序列,接入计数器,进行保存,当COL1~COL4的与为0时进行置数,其他情况保持,即实现了数据锁存的功能。 设计的电路图如下: 静态验证: 答:(自拟表格) 数据分析:静态验证表明,键盘按下时能产生对应的4位进制码。 动态验证: 答: 波形记录: 按下键盘时,数码管上显示如下: 数据分析:按下时,数码管上显示了相应的数字,并能保持。 四、思考题 1、 一个12进制加法计数器和一个12分频器有何区别? 答:12进制加法计数器有进位输出,而12分频器没有进位。 2、 用逻辑分析仪观察内容2中的波形时,为了能观察到输出信号的一个周期,应选择何种触发方式比较好。 答:应选择触发开始跟踪方式。这种跟踪方式在遇到触发字时开始跟踪(存储)数据流;当存储器满数据时就停止跟踪,因而在分析仪的存储器内存储了触发后的数据,触发字位于存储器队列的最前面 ,他显示在显示器的第一列,因此只要设定了合适的触发字,当逻辑分析仪识别出被测数据流中的触发字后就开始采集并存储在观察窗口内的数据
本文档为【时序逻辑】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_511210
暂无简介~
格式:doc
大小:92KB
软件:Word
页数:0
分类:企业经营
上传时间:2019-08-12
浏览量:17