首页 北邮数电实验四报告.doc

北邮数电实验四报告.doc

举报
开通vip

北邮数电实验四报告.doc北邮数电实验四报告.doc北邮数电实验四报告北邮实验cclab网站北邮张咏梅联系方式模电实验报告北邮北邮教师张咏梅篇一:北邮数电实验报告数字电路与逻辑设计实验报告一、要求要求:设计制作一个简易计算器,实现最大输入两位十进制数字的加减乘运算。基本要求:1、实现最大输入两位十进制数字的加减乘运算;八个拨码开关按两位8421bcd码输入。2、能够实现多次连算(无优先级,从左到右计算结果),如12+34×56-78=2498。3、最大长度以数码管最大个数为限,溢出报警。提高要求:1、有正负数区分。2、实现除法(...

北邮数电实验四报告.doc
北邮数电实验四报告.doc北邮数电实验四报告北邮实验cclab网站北邮张咏梅联系方式模电实验报告北邮北邮教师张咏梅篇一:北邮数电实验报告数字电路与逻辑 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 实验报告一、要求要求:设计制作一个简易计算器,实现最大输入两位十进制数字的加减乘运算。基本要求:1、实现最大输入两位十进制数字的加减乘运算;八个拨码开关按两位8421bcd码输入。2、能够实现多次连算(无优先级,从左到右计算结果),如12+34×56-78=2498。3、最大长度以数码管最大个数为限,溢出报警。提高要求:1、有正负数区分。2、实现除法(不能整除时小数保留2位有效数字)。3、其它扩展功能。二、系统设计:设计思路:1、将该程序进行分模块设计。可以将程序分为:主程序、译码模块和防抖模块。其中,主程序负责将输入的数据进行存储,对数据进行运算,将数据输出到数码上等;防抖模块负责对按键输入的数防抖;译码模块负责对输入的数据进行译码(由8421bcd码转化为十进制的编码)。2、分模块进行相应模块的编写。3、对编好的模块进行测试。4、编写主程序并进行调试。总体框图: 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 图:分块设计:(按实际操作的分块)输入模块:由符号开关和拨码开关构成。采用序列存储的方式存储相应的输入。输入译码模块:将输入的符号序列转化成先用状态,以便选取对应的计算方法;将输入的8421bcd码转化成相应的两位十进制数。数码显示模块:将寄存器内的数进行输出到数码管上。显示所输入的第一个数以及最后的结果。计算模块:调用相应的计算方式,对所输入的数进行计算。防抖模块:在用按键输入时,所得到的信号可能会有抖动,因此加入此抖动电路。三、仿真波形及波形分析:分析(波形仿真时,为了方便观察,将8421bcd码输入方式,该为了十进制的直接输入方式,并将输出,有原来的数码管显示改成了直接数字显示)由图中可以看出,当输入12,按下“确定”,数字就输入到了寄存器中,输入“+”号(对应仿真中的fuhao“100000”),在输入一个数35,按下“确定”,该计算器就件寄存器中的数与第二次输入的数进行相加,得到结果47。此时该结果又被直接保存到了寄存器中,继续输入运算符“-”(对应仿真中得fuhao“010000”),输入第三个数18,按下“确定”,计算器对其做减法运算,得到结果29,该结果又在寄存器中存储着。继续后续输入相应符号,相应数字可以继续进行相应的计算,只要结果在(-99999,99999)之间,就可以一直进行计算。四、源程序:主程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;Entitylzjisuanqiisport(cat:OUTSTD_LOGIC_VECTOR(5DO系列芯片的功能,采用模块化设计,各模块通过VHDL或基本器件实现,然后通过电路原理图连接各模块。设计方面,主要分为分频器、点阵显示模块、数码管显示模块、随机数生成模块、按键防抖模块、音频模块、逻辑模块。由于数据量小,各模块内部通过编程语言实现寄存功能,而不单独设立储存器。2.系统框图系统模块图:模块 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 :MDS状态图:篇四:北邮数电实验报告上三(VHDL语言设计思路及实现1.VHDL语言实现分频器(十分频)使用两个进程:p1用来控制在时钟上升沿触发,p2用中间变量temp来控制占空比为10%LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYfenpinISPORT(clk,clear:INSTD_LOGIC;clk_out:OUTSTD_LOGIC);ENDfenpin;ARCHITECTUREstrucOFfenpinISSIGNALtemp:INTEGERRANGE0TO11;BEGINp1:PROCESS(clear,clk)BEGINIFclear='0'THENtemp<=0;ELSIFclk'EVENTANDclk='1'THENIFtemp=9THENtemp<=0;ELSEtemp<=temp+1;ENDIF;ENDIF;ENDPROCESSp1;p2:PROCESS(temp)BEGINIFtemp<9THENclk_out<='0';ELSEclk_out<='1';ENDIF;ENDPROCESSp2;ENDstruc;2.VHDL语言实现模10计数器异步复位十进制计数器,计数状态为0000~1001,状态的改变通过q_temp实现,最后将其赋值给q,进行输出。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYbcd24ISPORT(clk,clr:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(3DOp:STD_LOGIC_VECTOR(3DOp<=0000;ELSIFq_temp=1001THENq_temp<=0000;ELSEq_temp<=q_temp+1;ENDIF;ENDIF;ENDPROCESS;q<=q_temp;ENDstruc;3.VHDL语言实现数码显示译码器通过不同的字段编码使LED数码管显示不同数字,用a表示计数状态,b表示字段a~g,下载时将b的7个值对应相应的a~g,以正确显示数字。libraryieee;useieee.std_logic_1164.all;entityyimaqi24isport(a:instd_logic_vector(3doaqi24;architectureyimaqiofyimaqi24isbeginprocess(a)begincaseais的分频器,模10计数器,数码管显示译码器。分频器将计算机频率降低作为输入,使数字变化周期为1秒。模10计数器用以控制输出10个数字。数码管显示译码器用来下载至实验板上观察结果。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYshiyan24ISPORT(clk:instd_logic;clear:instd_logic;b:outstd_logic_vector(6downto0);cat:outstd_logic_vector(5downto0));ENDshiyan24;篇五:北邮数电实验报告数字电路与逻辑设计实验报告 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目:数字电压表班级:*******学号:2******姓名:***一、要求要求:设计并实现一个简易数字电压表,要求使用实验板上的串行AD芯片ADS7816。基本要求:1、测量对象:1~2节干电池。2、AD参考电压:2.5V。2、用三位数码管显示测量结果,保留两位小数。4、被测信号超过测量范围有溢出显示并有声音提示。5、按键控制测量和复位。提高要求:1、能够连续测量。2、自拟其他功能。二、系统设计:设计思路:将该程序进行分模块设计。可以将程序分为:数码管显示、蜂鸣器、译码器及报警识别、控制器、电压信号接收端(即ads7816)、分频器。主程序是1、分模块进行相应模块的编写。2、对编好的模块进行测试。3、编写主程序并进行调试。总体框图: 流程图 破产流程图 免费下载数据库流程图下载数据库流程图下载研究框架流程图下载流程图下载word :分块设计:(按实际操作的分块)分频器:得到足够的分频提供给其他模块使用。控制器:为ads7816提供下降沿Ads7816:把电压值用12位串行二进制码表示出来。译码器:把12位码化为相应的ASCII码数码管显示:显示前4位电压数值。.蜂鸣器:超出量程时发出声音报警。三、仿真波形及波形分析:12位码表示的是210,0111111数码管表示0,0000110数码管表示1,1011011数码管表示2,译码后是012。Led显示模块正确。Datain表示的电压是参考电-211)=2.5x(1-0.0078125-0.00048828125)=2.479248046Dataout的bcd码为2.490基本相近。压2.5(1-27
本文档为【北邮数电实验四报告.doc】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_729658
暂无简介~
格式:doc
大小:19KB
软件:Word
页数:5
分类:
上传时间:2017-10-16
浏览量:34