首页 直流电机转速控制系统

直流电机转速控制系统

举报
开通vip

直流电机转速控制系统直流电机转速控制系统 山东交通学院毕业设计(论文) 摘 要 本文介绍了一种以AT89S51单片机为核心的直流电机转速控制系统的原理。它主要是通过对基于单片机的电机驱动和转速数据测量模块的研究设计其核心控制系统,该控制系统主要包括硬件装置和控制软件两部分。本系统由AT89C51单片机、测量电路、显示电路、控制电路、驱动电路和电源电路等组成。介绍了直流电机调速的相关知识,及PWM调整的基本原理和实现方法。系统应用H桥式驱动电路,采用红外光电传感器作为速度检测传感器,并应用LCD1602作为显示器。 系统控制输出...

直流电机转速控制系统
直流电机转速控制系统 山东交通学院毕业设计(论文) 摘 要 本文介绍了一种以AT89S51单片机为核心的直流电机转速控制系统的原理。它主要是通过对基于单片机的电机驱动和转速数据测量模块的研究设计其核心控制系统,该控制系统主要包括硬件装置和控制软件两部分。本系统由AT89C51单片机、测量电路、显示电路、控制电路、驱动电路和电源电路等组成。介绍了直流电机调速的相关知识,及PWM调整的基本原理和实现 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 。系统应用H桥式驱动电路,采用红外光电传感器作为速度检测传感器,并应用LCD1602作为显示器。 系统控制输出采用PWM数字信号驱动电路对电机调速,并给出了相应的电子电路。 采用的电子元器件简单普遍,线路连接简单,安装调试容易,测量结果精确,具有较高的实用价值。 关键字:直流电机、AT89S51、PWM、传感器 I 付蒙:直流电机转速控制系统 Abstract In this paper,it introduced the principle of DC motor SR system which is mainly composed AT89S51 microcontroller series. It’s mainly study of the motor drive and speed data Measurement module based on MSC to designed the core control system. The control system mainly include hardware devices and software control. The system mainly include AT89S51 Microcontrollers, the speed detection circuit, the display circuit, the keyboard circuit, the speed driver circuit and the power circut. And introduces some relative knowledge upon the DC motor, PWM adjust of the basic principles and methods. The system uses the speed driver H circuit. It uses Infrared photoelectric sensor as speed detecting sensor,and uses LCD1602 as monitor. It uses PWM signal as control output to regulate speed.and all the corresponding electronic circuits are given. The adoptive electronics components is simple and widespread, and the circuit conjunction is simple, installing to adjust to try easy, measure result precision, therefore have high and practical value. Key words: DC machines , AT89S51, PWM, sensor II 山东交通学院毕业设计(论文) 目 录 前 言 ..................................................................... 1 1直流电机转速控制系统概况 ................................................ 21.1直流电机简介 ........................................................ 2 1.2直流电机调速发展过程 ................................................ 3 1.3直流电机国内外发展状况 .............................................. 3 1.4直流电机调速发展前景 ................................................ 4 2总体设计和系统分析 ...................................................... 5 2.1直流电机转速控制系统框图 ............................................ 5 2.2直流电机转速控制系统设计要求 ........................................ 5 2.3基本工作原理 ........................................................ 5 2.3.1直流电机转速调节原理 ........................................... 5 2.3.2 PWM脉宽调制原理及实现方法 ................................... 6 3 系统硬件设计 ............................................................ 8 3.1 89S51单片机 ......................................................... 8 3.2.1 89S51单片机简介 ............................................... 8 3.2.2 89S51单片机引脚功能 ........................................... 9 3.2.3单片机中断系统 ................................................ 11 3.2.4单片机定时器/计数器简介 ....................................... 13 3.2键盘电路 ........................................................... 15 3.3显示电路 ......................................... 错误~未定义书签。16 3.3.1 LCD1602芯片介绍 ............................................. 16 3.3.2寄存器选择控制表 .............................................. 17 3.3.3 LCD1602字符集简介 ........................................... 17 3.4单片机复位与晶振电路 ............................................... 17 3.5速度检测电路 ....................................................... 19 3.5.1测速基本方法 .................................................. 19 3.5.2光电二极管简介 ................................................ 20 3.5.3光电红外对管简介 .............................................. 20 3.5.4光电对管测速基本原理 .......................................... 21 3.6信号处理电路 ....................................................... 22 3.6.1信号处理电路简介 .............................................. 22 3.6.2 ADC0832简介 ................................................ 22 3.7电机驱动电路 ....................................................... 24 3.7.1驱动电路器件参数 .............................................. 24 3.7.2驱动电路简介 .................................................. 24 3.7.3 H桥驱动电路简介 .............................................. 25 3.7.4使能控制和方向逻辑 ............................................ 26 3.7.5 H桥驱动控制 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ............................................. 26 3.8电源电路 ........................................................... 27 3.8.1电源电路组成 .................................................. 27 3.8.2电源电路工作原理 .............................................. 28 III 付蒙:直流电机转速控制系统 4 系统软件设计 ........................................................... 29 4.1程序设计及程序语言简介 ............................................. 29 4.1.1程序及设计步骤 ................................................ 29 4.1.2程序设计语言分类 .............................................. 29 4.1.3程序设计规范及分类........................................... 30 4.2 编程思路及流程图 ................................................... 31 4.2.1总体流程图及程序初始化简介 .................................. 31 4.2.2中断服务子程序流程图及程序简介 ................................ 32 4.2.3显示子程序流程图及说明 ........................................ 34 4.2.4电机控制子程序流程图及控制子程序 .............................. 35 论 .................................................................... 38 结 致 谢 .................................................................... 39 参考文献 ................................................................. 40 附录A 硬件电路图 ........................................................ 41 附录B 程序(未编辑) ...................................................... 42 IV 山东交通学院毕业设计(论文) 前 言 在现代电气产品中,在自动控制系统、电子仪器设备、家用电器、电子玩具等等方面,直流电机都得到了广泛的应用,像录音机、电唱机、录相机、电子计算机等,都不能缺少直流电机,所以直流电机的控制是一门很实用的技术。直流电动机是最早出现的电动机,也是最早实现调速的电动机。长期以来,直流电动机一直占据着调速控制的统治地位。由于它具有良好的线性调速特性,简单的控制性能,高效率,优异的动态特性,现在仍是大多数调速控制电动机的最优选择。因此研究直流电机的速度控制,有着非常重要的意义。 直流电机具有良好的启动性能和调速特性,它的特点是启动转矩大,最大转矩大,能在宽广的范围内平滑、经济地调速,转速控制容易,调速后效率很高。与交流调速相比,直流电机结构复杂,生产成本高,维护工作量大。随着大功率晶体管的问世以及矢量控制技术的成熟,使得矢量控制变频技术获得迅猛发展,从而研制出各种类型、各种功率的变频调速装置,并在工业上得到广泛应用。适用范围:直流调速器在数控机床、造纸印刷、纺织印染、光缆线缆设备、包装机械、电工机械、食品加工机械、橡胶机械、生物设备、印制电路板设备、实验设备、焊接切割、轻工机械、物流输送设备、机车车辆、医疗设备、通讯设备、雷达设备、卫星地面接受系统等行业广泛应用。高性能的交流传动应用比重逐年上升,在工业部门中,用可调速交流传动取代直流传动将成为历史的必然。 直流调速系统的发展得力于微电子技术、电力电子技术、传感器技术、永磁材料技术、自动控制技术和微机应用技术。这些技术的进步使直流调速系统发生变化。其中电机的控制部分已经由模拟控制逐渐让位于以单片机为主的微处理器控制,形成数字与模拟的混合控制系统和纯数字控制系统,并正向全数字控制方向快速发展。 设计一个直流电机转速调节系统,不论是从学习还是实践的角度,对以后的工作会产生积极地作用,有利于今后的发展。 绿色是我改过得(你看后变为黑色)。红色是需要你改得(你改后还保持红色,以便我下次检查,如果改好了,我会变为绿色,如果还需该,我就保持红色),有一些地方我改过,没有变色,你改时一定在我回复得稿上修改。 相同得错误我指出一次,还有类似的在其他地方你自己找到修改。首行缩进两个字。 1 付蒙:直流电机转速控制系统 1直流电机转速控制系统概况 1.1直流电机简介 输出或输入为直流电能的旋转电机,称为直流电机,它是能实现直流电能和机械能互相转换的电机。当它作电动机运行时是直流电动机,将电能转换为机械能;作发电机运行时是直流发电机,将机械能转换为电能。 直流电机由定子和转子两部分组成,其间有一定的气隙。其构造的主要特点是具有一个带换向器的电枢。直流电机的定子由机座、主磁极、换向磁极、前后端盖和刷架等部件组成。其中主磁极是产生直流电机气隙磁场的主要部件,由永磁体或带有直流励磁绕组的叠片铁心构成。直流电机的转子则由电枢、换向器和转轴等部件构成。其中电枢由电枢铁心和电枢绕组两部分组成。电枢铁心由硅钢片叠成,在其外圆处均匀分布着齿槽,电枢绕组则嵌置于这些槽中。换向器是一种机械整流部件。由换向片叠成圆筒形后,以金属夹件或塑料成型为一个整体。 一台直流电机 原则 组织架构调整原则组织架构设计原则组织架构设置原则财政预算编制原则问卷调查设计原则 上既可以作为电动机运行,也可以作为发电机运行,这种原理在电机理论中称为可逆原理。当原动机驱动电枢绕组在主磁极N、S之间旋转时,电枢绕组上感生出电动势,经电刷、换向器装置整流为直流后,引向外部负载(或电网),对外供电,此时电机作直流发电机运行。如用外部直流电源,经电刷换向器装置将直流电流引向电枢绕组,则此电流与主磁极N、S产生的磁场互相作用,产生转矩,驱动转子与连接于其上的机械负载工作,此时电机作直流电动机运行。 根据励磁方式的不同,直流电机可分为下列几种类型: (1)他励直流电机 励磁绕组与电枢绕组无联接关系,而由其他直流电源对励磁绕组供电的直流电机称为他励直流电机。M表示电动机,若为发电机,则用G表示。永磁直流电机也可看作他励直流电机。 (2)并励直流电机 并励直流电机的励磁绕组与电枢绕组相并联。作为并励发电机来说,是电机本身发出来的端电压为励磁绕组供电;作为并励电动机来说,励磁绕组与电枢共用同一电源,从性能上讲与他励直流电动机相同。 (3)串励直流电机 串励直流电机的励磁绕组与电枢绕组串联后,再接于直流电源。这种直流电机的励磁电流就是电枢电流。 (4)复励直流电机 复励直流电机有并励和串励两个励磁绕组。若串励绕组产生的磁通势与并励绕组产生的磁通势方向相同称为积复励。若两个磁通势方向相反,则称为差复励。 2 山东交通学院毕业设计(论文) 1.2 直流电机调速发展过程 在现代工业中,电动机作为电能转换的传动装置被广泛应用于机械、冶金、石油化学、国防等工业部门中,随着对生产工艺、产品质量的要求不断提高和产量的增长,越来越多的生产机械要求能实现自动调速。 在可调速传动系统中,按照传动电动机的类型来分,可分为两大类:直流调速系统和交流调速系统。交流电动机直流具有结构简单、价格低廉、维修简便、转动惯量小等优点,但主要缺点为调速较为困难。相比之下,直流电动机虽然存在结构复杂、价格较高、维修麻烦等缺点,但由于具有较大的起动转矩和良好的起、制动性能以及易于在宽范围内实现平滑调速,因此直流调速系统至今仍是自动调速系统的主要形式。 直流调速系统的发展得力于微电子技术、电力电子技术、传感器技术、永磁材料技术、自动控制技术和微机应用技术的最新发展成就。正是这些技术的进步使直流调速系统发生翻天覆地的变化。其中电机的控制部分已经由模拟控制逐渐让位于以单片机为主的微处理器控制,形成数字与模拟的混合控制系统和纯数字控制系统,并正向全数字控制方向快速发展。电动机的驱动部分所用的功率器件亦经历了几次更新换代。目前开关速度更快、控制更容易的全控型功率器件MOSFET和IGBT成为主流。功率器件控制条件的变化和微电子技术的使用也使新型的电动机控制方法能够得到实现。脉宽调制控制方法在直流调速中获得了广泛的应用。 1964年把PWM技术应用到电机传动中从此为电机传动的推广应用开辟了新的局面。进入70年代以来,体积小、耗电少、成本低、速度快、功能强、可靠性高的大规模集成电路微处理器已经商品化,把电机控制推上了一个崭新的阶段,以微处理器为核心的数字控制(简称微机数字控制)成为现代电气传动系统控制器的主要形式。PWM常取代数模转换器(DAC)用于功率输出控制,其中,直流电机的速度控制是最常见的应用。通常PWM配合桥式驱动电路实现直流电机调速,非常简单,且调速范围大。在直流电动机的控制中,主要使用定频调宽法。 目前,电机调速控制模块主要有以下三种: (1)采用电阻网络或数字电位器调整直流电机的分压,从而达到调速的目的; (2)采用继电器对直流电机的开或关进行控制,通过开关的切换对电机的速度进行调整; (3)采用由IGBT管组成的H型PWM电路。用单片机控制IGBT管使之工作在占空比可调的开关状态,精确调整电动机转速。 1.3 直流电机国内外发展状况 我国从六十年代初试制成功第一只硅晶闸管以来,晶闸管直流调速系统开始得到迅速的发展和广泛的应用。用于中、小功率的 0.4,200KW晶闸管直流调速装置已作为标准化、系列化通用产品批量生产。目前,提出了许多关于直流调速系统的控制算法: 3 付蒙:直流电机转速控制系统 (1)直流电动机及直流调速系统的参数辩识的方法。该方法据系统或环节的输入输出特性,应用最小二乘法,即可获得系统环节的内部参数,所获得的参数具有较高的精度,方法简便易行。 (2)直流电动机调速系统的内模控制方法。该方法依据内模控制原理,针对双闭环直流电动机调速系统设计了一种内模控制器,取代常规的PI调节器,成功解决了转速超调问题,能使系统获得优良的动态和静态性能,而且设计方法简单,控制器容易实现。 (3)单神经元自适应智能控制的方法。该方法针对直流传动系统的特点,提出了单神经元自适应智能控制策略。这种单神经元自适应智能控制系统不仅具有良好的静、动态性能,而且还具有令人满意的鲁棒性与自适应性。 (4)模糊控制方法。该方法对模糊控制理论在小惯性系统上对其应用进行了尝试。经1.5kw电机实验证明,模糊控制理论可以用于直流并励电动机的限流起动和恒速运行控制,并能获得理想的控制曲线。 随着各种微处理器的出现和发展,国外对直流电机的数字控制调速系统的研究也在不断发展和完善,尤其80年代在这方面的研究达到空前的繁荣。大型直流电机的调速系统一般采用晶闸管整流来实现,为了提高调速系统的性能,研究工作者对晶闸管触发脉冲的控制算法作了大量研究,提出了内模控制算法、I-P控制器取代PI调节器的方法、自适应和模糊PID算法等等。 1.4 直流电机调速发展前景 在现代工业中,电动机作为电能转换的传动装置被广泛应用于机械、冶金、石油化学、国防等工业部门中,随着对生产工艺、产品质量的要求不断提高和产量的增长,越来越多的生产机械要求能实现自动调速。 直流电动机虽然存在结构复杂、价格较高、维修麻烦等缺点,但由于具有较大的起动转矩和良好的起、制动性能以及易于在宽范围内实现平滑调速,因此直流调速系统至今仍是自动调速系统的主要形式。随着生产技术的发展,对直流电气传动在起制动、正反转以及调速精度、调速范围、静态特性、动态响应等方面都提出了更高的要求,这就要求大量使用直流调速系统。因此人们对直流调速系统的研究将会更深一步。由直流电机调速系统控制的地位与作用可知,直流电机调速系统有着广泛市场前景。 4 山东交通学院毕业设计(论文) 2总体设计和系统分析 2.1 直流电机转速控制系统框图 转速检测 显示 直流电机AT89S51 驱动电路键盘 图 2.1直流电机转速控制系统框图 Fig.2.1 The block diagram of the DC motor speed control system 2.2 直流电机转速控制系统设计要求 采用光电传感器做敏感器件,以小型直流电动机为测控对象。以89S51单片机为核心的转速测控系统设计和软硬件实现方法。熟悉光电传感器、电动机转速测量,速度控制,89S51定时器、中断,应用系统等方面的技术。 2.3基本工作原理 2.3.1 直流电机转速调节原理 直流电动机的转速n和其他参量的关系可表示为 Ua——电枢供电电压(V); Ia ——电枢电流(A); Ф——励磁磁通(Wb); Ra——电枢回路总电阻(Ω); CE——电势系数,,p为电磁对数,a为电枢并联支路数,N为导体数。 可知调速方法: (1)改变电枢回路总电阻Ra; (2)改变电枢供电电压Up; (3)改变励磁Ф。 分析可得,当分别改变Ra、Up、Ф时,可以得到不同的转速,从而实现对速度的调节。由于当改变励磁电流时,可以改变磁通量的大小,从而达到变磁通调速的目的。但由于励磁线圈发热和电动机磁饱和的限制,电动机的励磁电流和磁通量只能在低于其额 5 付蒙:直流电机转速控制系统 定值的范围内调节,故只能弱磁调速。而对于调节电枢外加电阻时,会使机械特性变软,导致电机带负载能力减弱。故可分析改变电枢供电电压。 理想空载转速,随电枢电压升降而发生相应的升降变化。不同电枢电压的机械特性曲线相互平行,说明硬度不随电枢电压的变化而改变,电机带负载能力恒定。当我们平滑调节他励直流电机电枢两端电压时,可实现电机的无级调速。基于以上特性,改变电枢电压,实现对直流电机速度调节的方法被广泛采用。改变电枢电压可通过多种途径实现,如晶闸管供电速度控制系统、大功率晶体管速度控制系统、直流发电机供电速度控制系统及晶体管直流脉宽调速系统等。 n n1U1 n2U2 n3U3 n4U4 0MlM 图 2.2 改变直流电机电压调速特性曲线 Fig.2.2 The special curve of Voltage DC motor speed 2.3.2 PWM脉宽调制原理及实现方法 PWM(Pulse Width Modulation)脉宽调制基本原理冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同是指环节的输出响应波形基本相同。PWM脉宽调制是通过控制固定电压的直流电源开关频率,从而改变负载两端的电压,进而达到控制要求的一种电压调整方法。PWM可以应用在许多方面,如电机调速、温度控制、压力控制等。在PWM驱动控制的调整系统中,按一个固定的频率来接通和断开电源,并根据需要改变一个周期内“接通”和“断开”时间的长短。通过改变直流电机电枢上电压的“占空比”来改变平均电压的大小,从而控制电动机的转速。因此,PWM又被称为“开关驱动装置”。根据PWM控制技术的特点,到目前为止主要有八类方法:相电压控制PWM、线电压控制PWM、电流控制PWM、非线性控制PWM,谐振软开关PWM、矢量控制PWM、直接转矩控制PWM、空间电压矢量控制PWM。 在直流调速系统中,开关放大器提供驱动电机所需要的电压和电流,通过改变加在电动机上的电压的平均值来控制电机的运转。在开关放大器中,常采用晶体管作为开关器件,晶体管如同开关一样,总是处在接通和断开的状态。在晶体管处在接通时,其上的压降可以略去;当晶体管处在断开时,其上的压降很大,但是电流为零,所以不论晶体管导通还是关断,输出晶体管中的功耗都是很小的。 6 山东交通学院毕业设计(论文) 在脉冲作用下,当电机通电时,速度增加;电机断电时,速度逐渐减少。只要按一定规律,改变通、断电的时间,即可让电机转速得到控制。设电机始终接通电源时,电机转速最大为Vmax,设占空比为D=T1,T,则电机的平均速度为V=Vmax*D 由公式可见,当我们改变占空比D 时,就可以得到不同的电机平均速度,从而达到调速的目的。严格地讲,平均速度n与占空比D并不是严格的线性关系,在一般的应用中,可以将其近似地看成线性关系。 PWM信号的产生通常有两种方法:一种是软件的方法;另一种是硬件的方法。本文主要介绍利用单片机对PWM信号的软件实现方法。51系列典型产品89S5l具有两个定时器T0和T1。通过控制定时器初值T0和T1,,从而可以实现从89S5l的任意输出口输出不同占空比的脉冲波形。由于PWM信号软件实现的核心是单片机内部的定时器,而不同单片机的定时器具有不同的特点,即使是同一台单片机由于选用的晶振不同,选择的定时器工作方式不同,其定时器的定时初值与定时时间的关系也不同。因此,首先必须明确定时器的定时初值与定时时间的关系.随着机型的不同而不同。在应用中,应根据具体的机型给出相应的值。这样,我们可以通过设定不同的定时初值L,从而改变占空比D,进而达到控制电机转速的目的。 利用单片机A\D转换器输出控制直流电机两端电压,来控制转速。可采用简单的比例调节器,其公式 Y=K*e(t) e(t)为偏差电压,即输入,K为比例系数,Y为输出。 比例调节是最简单最基本的方式,调节器的输出与输入成正比,与调节比例系数成正比。比例系数越大,动态性能大,调节作用好。采用比例积分调节器代替比例放大器后,可以使系统稳定且有足够的稳定裕量,但是比例调节存在问题,对于多数惯性环节,比例调节会引起自激震荡,而且其控制作用需要用偏差来维持,属于有静差调速系统,只能设法减少静差,无法从根本上消除静差。 控制程序的设计有两种方法:软件延时法和计数法。 软件延时法的基本思想是:首先求出占空比D,再根据周期T分别给电机通电M个单位时间t0,所以M=t1,t0然后,再断电N个单位时间,所以N=t2/t0。改变M和N的值,从而也就改变了占空比D。计数法的基本思想是:当单位延时个数M求出之后,将其作为给定值存放在某存储单元中。在通电过程中,对通电单位时间t0的次数进行计数,并与存储器的内容进行比较。若不相等,则继续输出控制脉冲,直到计数值与给定值相等,使电机断电。 7 付蒙:直流电机转速控制系统 3硬件系统设计 3.1 89S51单片机 3.1.1 单片机简介 单片机的全称为单片微型计算机(Single-Chip Microcomputer)或微型控制器(Micro-controller)。它在一块芯片上集成了中央处理单元CPU、随机存储器RAM、只 数器和多种输入/输出(I/O),如并行I/O、串行I/O和A/D读存储器ROM、定时器/计 转换器等。就其组成而言一块单片机就是一台计算机。典型的结构如图所示。由于它具有许多适用于控制的指令和硬件支持而广泛应用于工业控制、仪器仪表、外设控制、顺序控制器中,所以又称为微控制单元(MCU)。 图 3.1 单片机结构框图 Fig.3.1 The block diagram of SCM ATMEL89系列单片机是ATMEL公司的以8031核构成的8位Flash单片机系列。这个系列单片机的最大特点就是在片内含有Flash存储器。AT89S51单片机是一种低功耗高性能的CMOS8位微控制器,内置8KB可在线编程闪存。该器件采用Atmel公司的高密度非易失性存储技术生产,其指令与工业标准的80C51指令集兼容。片内程序存储器允许重复在线编程,允许程序存储器在系统内通过SPI串行口改写或用同用的非易失性存储器改写。通过把通用的8位CPU与可在线下载的Flash集成在一个芯片上,AT89S51便成为一个高效的微型计算机。它的应用范围广,可用于解决复杂的控制问题,且成本较低。 单片机是靠程序运行的,并且可以修改。单片机自动完成赋予它的任务的过程,也就是单片机执行程序的过程,即一条条执行的指令的过程,所谓指令就是把要求单片机执行的各种操作用的命令的形式写下来,这是在设计人员赋予它的指令系统所决定的,一条指令对应着一种基本操作;单片机所能执行的全部指令,就是该单片机的指令系统,不同种类的单片机,其指令系统亦不同。为使单片机能自动完成某一特定任务,必须把要解决的问题编成一系列指令(这些指令必须是选定单片机能识别和执行的指令),这一系列指令的集合就成为程序,程序需要 8 山东交通学院毕业设计(论文) 预先存放在具有存储功能的部件——存储器中。存储器由许多存储单元(最小的存储单位)组成,就像大楼房有许多房间组成一样,指令就存放在这些单元里,单元里的指令取出并执行就像大楼房的每个房间的被分配到了唯一一个房间号一样,每一个存储单元也必须被分配到唯一的地址号,该地址号称为存储单元的地址,这样只要知道了存储单元的地址,就可以找到这个存储单元,其中存储的指令就可以被取出,然后再被执行。 3.1.2 89S51单片机引脚功能 89S51 P1.0P0.0 P1.1P0.1 P1.2P0.2 P1.3P0.3 P1.4P0.4 P1.5P0.5 P1.6P0.6 P1.7P0.7 P3.0/RXDP2.0 P3.1/TXDP2.1 P3.2/INT0P2.2 P3.3/INT1P2.3 P3.4/T0P2.4 P3.5/T1P2.5 P3.6/WRP2.6 P3.7/RDP2.7 图 3.2 89S51单片机引脚 EA/VPP VccFig.3.2 The pin configuration of SCM89S51 VPD/RST ALE/PROG XTAL1 PSEN (1)电源 XTAL2VssVCC 芯片电源,接+5V; VSS 接地端。 (2)时钟 XTAL1、XTAL2 晶体振荡电路反相输入端和输出端。 (3)控制线 ALE/PROG当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 9 付蒙:直流电机转速控制系统 PSEN外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。 RST/VPD复位/备用电源。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 EA/Vpp 当/EA保持低电平时,则在此期间外部程序存储器,不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源。 (4)I/O线 80C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。P3口还具有第二功能,用于特殊信号输入输出和控制信号。 P0端口,该口是一个8位漏极开路的双向I/O口。在作为输出口时,每根引脚可以带动8个TTL输入负载。当把“1”写入P0时,则它的引脚可用作高阻抗输入。当对外部程序或数据存储器进行存取时,P0可用作多路复用的低字节地址/数据总线,在该模式,P0口拥有内部上拉电阻。在对Flash存储器进行编程时,P0用于接收代码字节;在校验时,则输出代码字节;此时需要外加上拉电阻。 P1端口,该口是带有内部上拉电阻的8位双向I/O端口,P1口的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写“1”时,通过内部的上拉电阻把端口拉到高电位,此时可用作输入口。P1口作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。在对Flash编程和程序校验时,P1口接收低8位地址。 P2端口,该口是带有内部上拉电阻的8位双向I/O端口,P2口的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写“1”时,通过内部的上拉电阻把端口拉到高电位,此时可用作输入口。P2口作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。在访问外部程序存储器或16位的外部数据存储器时,P2口送出高8位地址,在访问8位地址的外部数据存储器时,P2口引脚上的内容(就是专用寄存器(SFR)区中P2寄存器的内容),在整个访问期间不会改变。在对Flash编程和程序校验期间,P2口也接收高位地址或一些控制信号。P2端口为准双向口。 P3端口,该口是带有内部上拉电阻的8位双向I/O端口,P3口的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写“1”时,通过内部的上拉电阻把端口拉到高电位,此时可用作输入口。P3口作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。 在AT89S51中,同样P3口还用于一些复用功能。这些复用功能,执行一些特殊的指令,是必不可少的。 10 山东交通学院毕业设计(论文) 表3.1 P3端口引脚与复用功能表 Tab. 3.1 The table of port pin and multiplexing function of P3 端口引脚 复用功能 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 INT0(外部中断0) P3.3 INT1(外部中断1) P3.4 T0(定时器0的外部输入) P3.5 T1(定时器1的外部输入) P3.6 WR(外部数据存储器写选通) P3.7 RD(外部数据存储器读选通) 3.1.3单片机中断系统 引起CPU中断的根源,称为中断源。中断源向CPU提出的中断请求。CPU暂时中断原来的事务A,转去处理事件B。对事件B处理完毕后,再回到原来被中断的地方(即断点),称为中断返回。实现上述中断功能的部件称为中断系统(中断机构)。 单片机中断源: (1)INT0(P3.2),外部中断1。可由IT0(TCON.0)选择其为低电平有效还是下降沿有效。当CPU检测到P3.2引脚上出现有效的中断信号时,中断标志IE0(TCON.1)置1,向CPU申请中断。 (2)INT1(P3.3),外部中断2。可由IT1(TCON.2)选择其为低电平有效还是下降沿有效。当CPU检测到P3.3引脚上出现有效的中断信号时,中断标志IE1(TCON.3)置1,向CPU申请中断。 (3)TF0(TCON.5),片内定时/计数器T0溢出中断请求标志。当定时/计数器T0发生溢出时,置位TF0,并向CPU申请中断。 (4)TF1(TCON.7),片内定时/计数器T1溢出中断请求标志。当定时/计数器T1发生溢出时,置位TF1,并向CPU申请中断。 (5)RI(SCON.0)或TI(SCON.1),串行口中断请求标志。当串行口接收完一帧串行数据时置位RI或当串行口发送完一帧串行数据时置位TI,向CPU申请中断。 TCON中断标志: 表3.2 TCON中断标志表 Tab. 3.2 The table of TCON interrupt identification 7 6 5 4 3 2 1 0 字节 TCON TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 88H 11 付蒙:直流电机转速控制系统 (1)IT0(TCON.0)外部中断0触发方式控制位。(当IT0=0时:为电平触发方式。 当IT0=1时:为边沿触发方式。) (2)IE0(TCON.1)外部中断0中断请求标志位。 (3)IT1(TCON.2)外部中断1触发方式控制位。 (4)IE1(TCON.3)外部中断1中断请求标志位。 (5)TF0(TCON.5)定时/计数器T0溢出中断请求标志位。 (6)TF1(TCON.7)定时/计数器T1溢出中断请求标志位。 SCON中断标志 : 表3.3 SCON中断标志表 Tab. 3.3 The table of SCON interrupt identification 7 6 5 4 3 2 1 0 字节 SCON TI RI 98H (1)RI(SCON.0)串行口接收中断标志位。当允许串行口接收数据时,每接收完一个串行帧,由硬件置位RI。同样,RI必须由软件清除。 (2)TI(SCON.1)串行口发送中断标志位。当CPU将一个发送数据写入串行口发送缓冲器时,就启动了发送过程。每发送完一个串行帧,由硬件置位TI。CPU响应中断时,不能自动清除TI,TI必须由软件清除。 中断允许控制: 表3.4 中断允许控制表 Tab. 3.4 The table of interrupt allowable control 7 6 5 4 3 2 1 0 字节 IE EA ES ET1 EX1 ET0 EX0 A8H (1)EX0(IE.0) 外部中断0允许位; (2)ET0(IE.1)定时/计数器T0中断允许位; (3)EX1(IE.2)外部中断0允许位; (4)ET1(IE.3)定时/计数器T1中断允许位; (5)ES(IE.4)串行口中断允许位; (6)EA (IE.7)CPU中断允许(总允许)位。 中断优先级控制: 51单片机有两个中断优先级,即可实现二级中断服务嵌套。每个中断源的中断优先级都是由中断优先级寄存器IP中的相应位的状态来规定的。51单片机按两个中断优先级控制中断顺序。 12 山东交通学院毕业设计(论文) 表3.5 中断优先级控制表 Tab. 3.5 The table of interrupt priority level 7 6 5 4 3 2 1 0 字节 IP PS PT1 PX1 PT0 PX0 B8H 说明: (1)PX0(IP.0)外部中断0优先级设定位; (2)PT0(IP.1)定时/计数器T0优先级设定位; (3)PX1(IP.2)外部中断0优先级设定位; (4)PT1(IP.3)定时/计数器T1优先级设定位; (5)PS(IP.4)串行口优先级设定位; (6)PT2(IP.5)定时/计数器T2优先级设定位。 中断条件: (1)CPU 正在处理一个同级或更高级别的中断请求时; (2)当前的指令没有执行完时; (3)当前正执行的指令是返回指令(RETI)或访问IP、IE 寄存器的指令,则CPU 将至少再执行一条指令才能响应中断。 3.1.4单片机定时器/计数器简介 51单片机内部设有两个16位的可编程定时器/计数器。可编程的意思是指其功能(如工作方式、定时时间、量程、启动方式等)均可由指令来确定和改变。在定时器/计数器中除了有两个16位的计数器之外,还有两个特殊功能寄存器(控制寄存器和方式寄存器)。 16位的定时/计数器分别由两个8位专用寄存器组成,即:T0由TH0和TL0构成;T1由TH1和TL1 构成。其访问地址依次为8AH-8DH。每个寄存器均可单独访问。这些寄存器是用于存放定时或计数初值的。此外,其内部还有一个8位的定时器方式寄存器 TMOD和一个8位的定时控制寄存器TCON。这些寄存器之间是通过内部总线和控制逻辑电路连接起来的。TMOD主要是用于选定定时器的工作方式; TCON主要是用于控制定时器的启动停止,此外TCON还可以保存T0、T1的溢出和中断标志。当定时器工作在计数方式时,外部事件通过引脚T0 (P3.4)和T1(P3.5)输入。 定时计数器的原理: 当定时器/计数器为定时工作方式时,计数器的加1信号由振荡器的12分频信号产生,即每过一个机器周期,计数器加1,直至计满溢出为止。显然,定时器的定时时间与系统的振荡频率有关。因一个机器周期等于12个振荡周期,所以计数频率fcount=1/12osc。如果晶振为12MHz,则计数周期为: T=1/(12×106)Hz×1/12=1μs 13 付蒙:直流电机转速控制系统 这是最短的定时周期。若要延长定时时间,则需要改变定时器的初值,并要适当选择定时器的长度。 当定时器/计数器为计数工作方式时,通过引脚T0和T1对外部信号计数,外部脉冲的下降沿将触发计数。计数器在每个机器周期的S5P2期间采样引脚输入有效电平。若一个机器周期采样值为1,下一个机器周期采样值为0,则计数器加1。此后的机器周期S3P1期间,新的计数值装入计数器。所以检测一个由1至0的跳 变需要两个机器周期,故外部事年的最高计数频率为振荡频率的1/24。例如,如果选用12MHz晶振,则最高计数频率为0.5MHz。虽然对外部输入信号 的占空比无特殊要求,但为了确保某给定电平在变化前至少被采样一次,外部计数脉冲的高电平与低电平保持时间均需在一个机器周期以上。 当CPU用软件给定时器设置了某种工作方式之后,定时器就会按设定的工作方式独立运行,不再占用CPU的操作时间,除非定时器计满溢出,才可能中断CPU 当前操作。CPU也可以重新设置定时器工作方式,以改变定时器的操作。 定时器/计数器是一种可编程部件,所以在定时器/计数器开始工作之前,CPU必须将一些命令(称为控制字)写入定时/计数器。将控制字写入定时/计数器的过程叫定时器/计数器初始化。在初始化过程中,要将工作方式控制字写入方式寄存器,工作状态字(或相关位)写入控制寄存器,赋定时/计 数初值。 控制寄存器 定时器,计数器T0和T1有2个控制寄存器-TMOD和TCON,它们分别用来设置各个定时器,计数器的工作方式,选择定时或计数功能,控制启动运行,以及作为运行状态的标志等。其中,TCON寄存器中另有4位用于中断系统。 定时器,计数器寄存器TMOD: 定时器方式控制寄存器TMOD在特殊功能寄存器中,字节地址为89H,无位地址。TMOD的格式如下图所示。 表3.6 定时/计数器TMOD表 Tab. 3.6 The format table of TMOD 7 6 5 4 3 2 1 0 字节 TMOD GATE C/T M1 M0 GATE C/T M1 M0 90H (1)GATE:门控制位。GATE和软件控制位TR、外部引脚信号INT的状态,共同控制定时器,计数器的打开或关闭。 (2) C,T:定时器,计数器选择位。C/T,1,为计数器方式;C,T,0,为定时器方式。 (3)M1M0:工作方式选择位,单片机定时器,计数器的4种工作方式由M1M0设定。 14 山东交通学院毕业设计(论文) 表3.7 定时/计数器工作方式设置表 Tab. 3.7 The working table of timer conter M1M0 工作方式 功能描述 00 方式0 13位计数器 01 方式1 16位计数器 10 方式2 自动再装入8位计数器 11 方式3 定时器0:分成两个8位计数器;定时器1:停止计数 定时器,计数器控制寄存器TCON: TCON在特殊功能寄存器中,字节地址为88H,位地址(由低位到高位)为88H到8FH,由于有位地址,十分便于进行位操作。 表3.8 定时/计数器TCON表 Tab. 3.7 The table of TCON 7 6 5 4 3 2 1 0 字节 TCON TF1 TR1 TF0 TR0 88H (1)TF1定时器1溢出标志位。当字时器1计满溢出时,由硬件使TF1置“1”,并且申请中断。进入中断服务程序后,由硬件自动清“0”,在查询方式下用软件清“0”。 (2)TR1定时器1运行控制位。由软件清“0”关闭定时器1。当GATE=1,且INT1为高电平时,TR1置“1”启动定时器1;当GATE=0,TR1置“1”启动定时器1。 (3)TF0定时器0溢出标志。其功能及操作情况同TF1。 (4)TR0定时器0运行控制位。其功能及操作情况同TR1。 (5)IE1外部中断1请求标志。 (6)IT1外部中断1触发方式选择位。 (7)IE0外部中断0请求标志。 (8)IT0外部中断0触发方式选择位。 3.2 键盘电路 VCC+5V R6R7R8R9R101K1K1K1K1KK1P2.0K2P2.1K3P2.2K4P2.3K5P2.4 图 3.3 键盘电路 Fig.3.3 The keyboard circuit 15 付蒙:直流电机转速控制系统 本系统的键盘电路采用普通键盘,每个按健对应单片机P1.0,P1.4的一个管脚。当按键没有按下时为高电平,有键按下时对应的端口变为低电平。其中K1为启动,按下K1时,中断允许,K2停制按键, K3反转按键,K4加速按键, K5减速按键。 3.3 显示电路 1486VCCLCD1602+5VVCCBLABLKRB7RB6RB5RB4R5RB310KBB2R4RB110K RB0 图 3.4 显示电路 E RW Fig.3.4 The display circuit RS VOVSS3.3.1 LCD1602芯片介绍 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。因为1602识别的是ASCII码,试验可以用ASCII码直接赋值,在单片机编程中还可以用字符型常量或变量赋值。 1602采用标准的16脚接口,其中: VSS为电源地 VDD接5V电源正极 V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 E(或EN)端为使能(enable)端。 RB0,RB7为8位双向数据端。 BLA脚背光正极。 BLK脚背光负极。 16 山东交通学院毕业设计(论文) 3.3.2 寄存器选择控制表 表 3.9 寄存器选择控制表 Tab. 3.9 The select control table of Register RS R/W 操作说明 0 0 写入指令寄存器(清除屏等) 0 1 读busy flag(DB7)并读取位址计数器(DB0~DB6)值 1 0 写入数据寄存器(显示各字型等) 1 1 从数据寄存器读取数据 3.3.3 LCD1602字符集简介 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。因为1602识别的是ASCII码,试验可以用ASCII码直接赋值,在单片机编程中还可以用字符型常量或变量赋值,如'A’。 3.4 单片机复位与晶振电路 VCC +5vVCC EA/VPP K+5v VPD/RST XTAL1C4 XTAL2R15C622PF10K10UFC512M 22PF 图 3.5 复位与晶振电路 Fig.3.5 The crystal circuit (1)晶振电路: 单片机系统里都有晶振,在单片机系统里晶振作用非常大,全程叫晶体振荡器,他结合单片机内部电路产生单片机所需的时钟频率,单片机晶振提供的时钟频率越高,那么单片机运行速度就越快,单片接的一切指令的执行都是建立在单片机晶振提供的时钟频率。 在通常工作条件下,普通的晶振频率绝对精度可达百万分之五十。高级的精度更高。有些晶振还可以由外加电压在一定范围内调整频率,称为压控振荡器(VCO)。晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定,精确的单频振荡。 单片机晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便 17 付蒙:直流电机转速控制系统 于各部分保持同步。有些通讯系统的基频和射频使用不同的晶振,而通过电子调整频率的方法保持同步。晶振通常与锁相环电路配合使用,以提供系统所需的时钟频率。如果不同子系统需要不同频率的时钟信号,可以用与同一个晶振相连的不同锁相环来提供。 (2)复位电路: 为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一部分,复位电路的 5.25V。第一功能是上电复位。一般微机电路正常工作需要供电电源为5V?5%,即4.75,由于微机电路是时序数字电路,它需要稳定的时钟信号,因此在电源上电时,只有当VCC超过4.75V低于5.25V以及晶体振荡器稳定工作时,复位信号才被撤除,微机电路开始正常工作。 目前为止,单片机复位电路主要有四种类型:(1)微分型复位电路;(2)积分型复位电路;(3)比较器型复位电路;(4)看门狗型复位电路。 单片机在启动时都需要复位,以使CPU及系统各部件处于确定的初始状态,并从初态开始工作。89系列单片机的复位信号是从RST引脚输入到芯片内的施密特触发器中的。当系统处于正常工作状态时,且振荡器稳定后,如果RST引脚上有一个高电平并维持2个机器周期(24个振荡周期)以上,则CPU就可以响应并将系统复位。单片机系统的复位方式有:手动按钮复位和上电复位。 手动按钮复位需要人为在复位输入端RST上加入高电平。一般采用的办法是在RST端和正电源Vcc之间接一个按钮。当人为按下按钮时,则Vcc的+5V电平就会直接加到RST端。手动按钮复位的电路如所示。由于人的动作再快也会使按钮保持接通达数十毫秒,所以,完全能够满足复位的时间要求。 单片机在启动时都需要复位,以使CPU及系统各部件处于确定的初始状态,并从初态开始工作。89系列单片机的复位信号是从RST引脚输入到芯片内的施密特触发器中的。当系统处于正常工作状态时,且振荡器稳定后,如果RST引脚上有一个高电平并维持2个机器周期(24个振荡周期)以上,则CPU就可以响应并将系统复位。单片机系统的复位方式有:手动按钮复位和上电复位。 上电复位只要在RST复位输入引脚上接一电容至Vcc端,下接一个电阻到地即可。对于CMOS型单片机,由于在RST端内部有一个下拉电阻,故可将外部电阻去掉。上电复位的工作过程是在加电时,复位电路通过电 容加给RST端一个短暂的高电平信号,此高电平信号随着Vcc对电容的充电过程而逐渐回落,即RST端的高电平持续时间取决于电容的充电时间。为了保证系统能够可靠地复位,RST端的高电平信号必须维持足够长的时间。上电时,Vcc的上升时间约为10ms,而振荡器的起振时间取决于振荡频率,如晶振频率为10MHz,起振时间为1ms;晶振频率为1MHz,起振时间则为10ms。在复位电路中,当Vcc掉电时,必然会使RST端电压迅速下降到0V以下,但是,由于内部电路的限制作用,这个负电压将不会对器件产生损害。另外,在复位期间,端口引脚处于随机状态,复位后,系统将端口置为全“l”态。如果系统在上电时得不到有效的复位,则程序计数器PC将得不到一个合适的初值,因此,CPU可能会从一个未被定义的位置开始执行程 18 山东交通学院毕业设计(论文) 序。 复位电路工作原理如图所示,VCC上电时,C充电,在10K电阻上出现电压,使得单片机复位;几个毫秒后,C充满,10K电阻上电流降为0,电压也为0,使得单片机进入工作状态。工作期间,按下k,C放电。 3.5 速度检测电路 VCC+5V R3D610K 信号输出 D7 R2Q11009013 图 3.6 速度检测电路 Fig.3.6 The speed detection circuit 3.5.1测速基本方法 在高转速和低转速的情况下,测量的方法对其精度有较大影响,通常有以下3种。 (1)测周期法“T法” 转速可以用一个脉冲宽度Tc来决定(而Tc可以用内部时钟脉冲数m1来表示,计算公式为:n=60/pm1 n为电机的转速,P为电机转一周脉冲发生器产生的脉冲数,即码盘的孔数。 (2)测频法“M法” 在一定的时间T内(测速咏冲发生器产生的咏冲数ml,公式:n=60m1,P (3)M,T法 结合测周法和测频法,同时在同一时间内脉冲发生器产生的脉冲数m1,及内部时钟脉冲数m2来计算,公式为:n=60m1/pm2。 三种测转速方法比较: (1)在以上三种测速方法中,从测量精度上看T法测量低速时精度较高,但随着转速的增加,精度逐渐变差。 (2)M法高转速时测量精度较高,低转速时精度较低。 19 付蒙:直流电机转速控制系统 (3)M/T法由于同时对外部脉冲和时钟脉冲计数,因而在整个转速范围内都有较高的精度(精度位于M法和T法之间(一般都能满足测量转速要求,多数选用此法。 3.5.2 光电二级管简介 光敏二极管也叫光电二极管。光敏二极管与半导体二极管在结构上是类似的,其管芯是一个具有光敏特征的PN结,具有单向导电性,因此工作时需加上反向电压。无光照时,有很小的饱和反向漏电流,即暗电流,此时光敏二极管截止。当受到光照时,饱和反向漏电流大大增加,形成光电流,它随入射光强度的变化而变化。当光线照射PN结时,可以使PN结中产生电子一空穴对,使少数载流子的密度增加。这些载流子在反向电压下漂移,使反向电流增加。因此可以利用光照强弱来改变电路中的电流。常见的有2CU、2DU等系列。 普通二极管在反向电压作用时处于截止状态,只能流过微弱的反向电流,光电二极管在设计和制作时尽量使PN结的面积相对较大,以便接收入射光。光电二极管是在反向电压作用下工作的,没有光照时,反向电流极其微弱,叫暗电流;有光照时,反向电流迅速增大到几十微安,称为光电流。光的强度越大,反向电流也越大。光的变化引起光电二极管电流变化,这就可以把光信号转换成电信号,成为光电传感器件。 光电二极管是将光信号变成电信号的半导体器件。它的核心部分也是一个PN结,和普通二极管相比,在结构上不同的是,为了便于接受入射光照,PN结面积尽量做的大一些,电极面积尽量小些,而且PN结的结深很浅,一般小于1微米。 光电二极管是在反向电压作用之下工作的。没有光照时,反向电流很小(一般小于0.1微安),称为暗电流。当有光照时,携带能量的光子进入PN结后,把能量传给共价键上的束缚电子,使部分电子挣脱共价键,从而产生电子---空穴对,称为光生载流子。 它们在反向电压作用下参加漂移运动,使反向电流明显变大,光的强度越大,反向电流也越大。这种特性称为“光电导”。光电二极管在一般照度的光线照射下,所产生的电流叫光电流。如果在外电路上接上负载,负载上就获得了电信号,而且这个电信号随着光的变化而相应变化。 3.5.3 光电红外对管简介 光电管原理是光电效应。半导体材料类型的光电管,它的工作原理光电二极管又叫光敏二极管,是利用半导体的光敏特性制造的光接受器件。某些金属的原了核对外层吸引力较小,而外层电子又能量较大,如再吸收很少能量就会挣脱原了核成为自由电子.当有光照射时,如果一个的光子能量足够高时,电子将吸收光子能量,挣脱原了核成为自由电子(称为光电子).电子就会飞离金属表面,这就是光电效应。 光电管就是用有光电效应的金属或涂的有光电效应金属的导体做阴极(接电源负极),在其对面另做一个阳极(接电源正极)做成一个电子元件。 20 山东交通学院毕业设计(论文) 把光电管接入电路时,当有光照射时,就会形成束光电子,光电子在电场力作用下向阳极运动形成电流,光照消失,没有产生光电子,电流也消失了。 红外线发射管也称红外线发射二极管,属于二极管类。它是可以将电能直接转换成近红外光并辐射出去的发光器件,主要应用于各种光电开关及遥控发射电路中。红外线发射管的结构、原理与普通发光二极管相近,只是使用的半导体材料不同。红外发光二极管通常使用砷化镓(GaAS三)、砷铝化镓(GaAlAs)等材料,采用全透明或浅蓝色、黑色的树脂封装。发射距离、发射角度(15度、30度、45度、60度、90度、120度、180度)、发射的光强度、波长。以上为物理参数,需了解其电性能参数:市场上常用的直径3mm,5mm为小功率红外线发射管,8mm,10mm为中功率及大功率发射管。小功率发射管正向电压:1.1,1.5V,电流20ma,中功率为正向电压:1.4-1.65V 50,100ma,大功率发射管为正向电压:1.5-1.9V200,350ma.煜星电子做出1,10W大功率红外线发射管可应用于红外监控照明。 红外线接收管是将红外线光信号变成电信号的半导体器件,它的核心部件是一个特殊材料的PN结,和普通二极管极管相比,在结构上采取了大的改变,红外线接收管为了更多更大面积的接受入射光线,PN结面积尽量做的比较大,电极面积尽量减小,而且PN结的结深很浅,一般小于1微米。红外线接收二极管是在反向电压作用之下工作的。没有光照时,反向电流很小(一般小于0.1微安),称为暗电流。当有红外线光照时,携带能量的红外线光子进入PN结后,把能量传给共价键上的束缚电子,使部分电子挣脱共价键,从而产生电子---空穴对(简称:光生载流子)。它们在反向电压作用下参加漂移运动,使反向电流明显变大,光的强度越大,反向电流也越大。这种特性称为“光电导”。红外线接收二极管在一般照度的光线照射下,所产生的电流叫光电流。如果在外电路上接上负载,负载上就获得了电信号,而且这个电信号随着光的变化而相应变化。红外线接收管有两种,一种是光电二极管,另一种是光电三极管。光电二极管就是将光信号转化为电信号,光电三极管在将光信号转化为电信号的同时,也把电流放大了。因此,光电三极管也分为两种,分别别是NPN型和PNP型。红外接收管的作用是进行光电转换,在光控、红外线遥控、光探测、光纤通信、光电耦合等方面有广泛的应用。 3.5.4 光电对管测速基本原理 采用光电对管构成脉冲序列发生电路,由于电机上装有码盘,所以光电对管可以产生高低变化电平,通过运放可以使波形更好,如果在运放输出端在适当添加滤波电容,效果会更好。采用光电传感器测量电机的转速,在设计中,在电机转轴末梢上安装纸卡(在纸卡上留出两个孔。电机转轴每转一圈,发射二极管发出的光便通过纸卡上的孔到达接收二极管,就可在接收二极管上产生相应的脉冲信号。其中红外发射对管和接收对管分别安装在电机叶片两边,当电机转动时,叶片挡住红外线接收不到信号,挡不住 21 付蒙:直流电机转速控制系统 时可以接受信号。可以得到脉冲个数,根据叶片数可以计算出转动一周的时间,即得出转速。 3.6信号处理电路 VCC+5V15VccCSR17P3.2R16D51K1K26CHDCLKVCCA2P3.3+5VADC083237信号输入CHID0P3.4 48A1GNDD1LM358 图 3.7 信号处理电路 Fig.3.7 The circut of single handel 3.6.1信号处理电路简介: 实现A/D转换的基本方法很多,有计数法、逐次逼近法、双斜积分法和并行转换法。由于逐次逼近式A/D转换具有速度,分辨率高等优点,而且采用这种方法的ADC芯片成本低,所以我们采用逐次逼近式A/D转换器。逐次逼近型ADC包括1个比较器、一个模数转换器、1个逐次逼近寄存器(SAR)和1个逻辑控制单元。逐次逼近型是将采样信号和已知电压不断进行比较,一个时钟周期完成1位转换,依次类推,转换完成后,输出二进制数。这类型ADC的分辨率和采样速率是相互牵制的。优点是分辨率低于12位时,价格较低,采样速率也很好。 从传感器输出的电压为0-5伏,与ADC0832模数转换模块的工作电压相匹配,无需放大;而且为了使负载不影响滤波特性,可以加一个高输入电阻、低输出电阻的隔离电路。因此我们必须添加一个电压跟随器。 在电路中,电压跟随器一般做缓冲级(buffer)及隔离级。因为,电压放大器的输出阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输入阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证。电压跟随器的另外一个作用就是隔离,使得负载不影响电路的滤波特性。 由于引入了深度电压串联负反馈,因此电路的输入阻抗很高,输出阻抗低。高输入阻抗就可以减少放大电路对前端电路的影响,同时低输出阻抗也可以提高自身的抗干扰性,这显然有利于电路中其他模块的设计。 3.6.2 ADC0832简介: ADC0832模数转换器具有8位分辨率、双通道A/D转换、输入输出电平与TTL/CMOS相兼容、5V电源供电时输入电压在0,5V之间、工作频率为250KHZ 、转换时间为32 微 22 山东交通学院毕业设计(论文) 秒、一般功耗仅为15MW等优点,适合本系统的应用,所以我采用ADC0832为模数转换器件。 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时有效并与单片机的接口是双向的,所以电路设计时可以将DO和DI 并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS电平,此时芯片禁用,CLK 使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟输入端CLK 输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第1 个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第2、3个脉冲下沉之前DI端应输入2 位数据用于选择通道功能.如图: 15VccCS 26CHDCLK ADC083237CHID0 48GNDD1 图3.8 ADC0832引脚电路 Fig.3.8 The pin configuration of ADC0832 ADC0832 具有以下特点: ?8位分辨率; ?双通道A/D转换; ?输入输出电平与TTL/CMOS相兼容; ?5V电源供电时输入电压在0--5V之间; ?工作频率为250KHZ,转换时间为32μS; ?一般功耗仅为15mW; ?8P、14P—DIP(双列直插)、PICC 多种封装; ?商用级芯片温宽为0度 到 +70度,工业级芯片温宽为?40度 到 +85度; 芯片接口说明: ?CS_ 片选使能,低电平芯片使能; ?CH0 模拟输入通道0,或作为IN+/-使用; ?CH1 模拟输入通道1,或作为IN+/-使用; ?GND 芯片参考0 电位(地); ?DI 数据信号输入,选择通道控制; 23 付蒙:直流电机转速控制系统 ?DO 数据信号输出,转换数据输出; ?CLK 芯片时钟输入; ?Vcc/REF 电源输入及参考电压输入(复用)。 3.7 电机驱动电路 VCCVCC+5V+24V R13R14Q5Q41K1K9013D190134148D24148Q2Q390139013M ZYD34148R11D4P0.01KQ6Q7414890129012P0.1 R121K 图 3.9 驱动电路 Fig.3.9 The speed driver circuit 3.7.1驱动电路器件参数: 三极管六个 9013 NPN(4个) 20V 625MA 500MW 放大倍数40--100 9012PNP 20V 500MA 低频 放大倍数 30--90 二极管 1N4148 1.5A 10V 电源 VCC:电源电压(双极器件) 24V电源 直流电机 ZY系列直流永磁电机 (1)功率:60W (2)电压:24V (3)扭矩:0.050mN.m~3822mN.m 4)转速:3000r/min ( (5)安装方式:A1 底脚安装 (6)调速范围:—30%至+30% 3.7.2 驱动电路简介 H桥功率驱动器来源于纵向项目,作为一种典型的智能功率集成电路,它广泛应用于直流和步进马达驱动(stepper motor drive)、位置与速度伺服系统、工业机器人、各种数控设备、打印机和绘图仪中。全桥PWM功率驱动器,具有欠压封锁、过压保护、过温保护、过流保护、电流取样等功能,内置死区时间产生电路,输入电平与TTL兼容。 20世纪后期的电子技术(包括大规模集成电路技术、电力电子技术和计算机技术)的 24 山东交通学院毕业设计(论文) 飞速发展以及现代电机控制理论的完善,仿真工业的目渐成熟,极大地推动了作为机电能量转换的基本单元电动机控制技术的发展,这种发展对各行各业的影响是巨大的。如今,电子技术、计算机技术和电机控制技术相结合的趋势更为明显,促进电机控制技术以更快的速度发展。进入21世纪后,可以预期新的更高性能电力电子器件还会出现,已有的各代电力电子元件还会不断地改进提高。除此以外,一个新的发展动向值得注意, 这就是大功率半导体元器件向集成化、智能化方向发展。 驱动电动机的控制方案有三种:工作在通断两个状态的开关控制、相位控制和脉宽调制控制,在单向通用电动机的电子驱动电路中,主要的器件是晶闸管,后来是用相位控制的双向可控硅,最新产品是内部具有保护电路的Ac开关。50年代末期出现的晶闸管器件,取代了原先的电动机一发电机组、交磁电机扩大机、磁放大器、电子管放大器。在这以后,这种半控型功率器件一直主宰着电机控制市场。到70和80年代才先后出现了全控型功率器件GTO晶闸管、GTR、MOSFET、IGBT和MCT等。利用这种有自关断能力的器件,取消了原来普通晶闸管系统所必需的换相电路,简化了电路结构,提高了效率,提高了工作频率,降低了噪声,也缩小了电力电子装置的体积和重量。谐波成分大、功率因数差的相控变流器逐步由斩波器或PWM变流器所代替,明显地扩大了电机控制的调运范围,提高了调速精度,改善了快速性、效率和功率因数。实际使用的时候,用分立件制作H桥式是很麻烦的,好在现在市面上有很多封装好的H桥集成电路,接上电源、电机和控制信号就可以使用了,在额定的电压和电流内使用非常方便可靠。 目前,高压H桥驱动电路一般都采用了窄脉冲电平位移技术,并采用自举电容的方式获得高端功率管驱动电压,以克服自身功耗大的缺点。但是,为了保持自举电容两端的电压,必须周期性地对自举电容充电,即H桥功率驱动电路必须不断地工作在开关状态,否则,当一组桥臂长时间地导通时,自举电容会与高侧驱动器之间形成一个泄放回路,最终导致高端驱动电路欠压,而不能驱动末级功率器件,无法满足实际应用时一组桥臂可以长时间导通的要求。 3.7.3 H桥驱动电路简介 4 个三极管组成H 的4 条垂直腿,而电机就是H 中的横杠。如图所示,H 桥式电机驱动电路包括4 个三极管和一个电机。要使电机运转,必须导通对角线上的一对三极管。根据不同三极管对的导通情况,电流可能会从左至右或从右至左流过电机,从而控制电机的转向。 25 付蒙:直流电机转速控制系统 图 3.10 H 桥驱动电路 Fig.3.10 The speed driver H circuit 要使电机运转,必须使对角线上的一对三极管导通。如图所示,当Q1 管和Q4管导通时,电流就从电源正极经Q1 从左至右穿过电机,然后再经 Q4 回到电源负极。按图中电流箭头所示,该流向的电流将驱动电机顺时针转动。当三极管Q1 和Q4 导通时,电流将从左至右流过电机,从而驱动电机按特定方向转动。 图 3.11 H 桥电路驱动电机顺时针转动 Fig.3.11 The clockwise speed driver H circuit 下图所示为另一对三极管Q2 和Q3 导通的情况,电流将从右至左流过电机该流向的电流将驱动电机逆时针转动。当三极管Q2和Q3 导通时,电流将从右至左流过电机,从而驱动电机沿另一方向转动。 图 3.12 H 桥电路驱动电机逆时针转动 Fig.3.12 The counterclockwise clockwise speed driver H circuit 3.7.4 使能控制和方向逻辑 驱动电机时,保证H桥上两个同侧的三极管不会同时导通非常重要。如果三极管Q1和Q2同时导通,那么电流就会从正极穿过两个三极管直接回到负极。此时,电路中除了三极管外没有其他任何负载,因此电路上的电流就可能达到最大值(该电流仅受电源性能限制),甚至烧坏三极管。 基于上述原因,在实际驱动电路中通常要用硬件电路方便地控制三极管的开关。 3.7.5 H桥驱动电路控制方案 单片机产生PWM1脉冲、PWM2脉冲,经过放大电路,分别控制H桥对角线三极管导通,来控制电机正反转。产生不同PWM脉冲,调节脉冲宽度,来实现电机两端电压 26 山东交通学院毕业设计(论文) 信号,来实现电机转速调节。当加速时,其一脉冲变长,另一个变短,但总长不变,来调节电机电压大小,而改变转速。 3.8 电源电路 +5VMC7805 R11K220V6VC3C1C2680μF2200μF0.1μFD1 +24VMC7824 R11K60VC4C5C64700μF4700μF680μFD2 直流电机转速控制系统 图 3.13 电源电路 Fig.3.13 The power circuit 3.8.1 电源电路组成 电子电路要正常工作,电源必不可少,并且电源性能对电路、电子仪器和电子设备的使用寿命、使用性能等影响很大,尤其在带有感性负载的电路和设备(如电机)中,对电源的性能要求更高。在很多应用直流电机的场合中,要求为电机驱动电路提供1个其输出5V、24 V的直流电源,并且要求电源有保护功能。实际上就是要求设计一个具有稳的直流稳压电源电路。该电路的设计关键在于稳压电路的设计,其要求是输出电压5V、24V;所选器件和电路必须达到在较宽范围内输出电压要求;输出电压应能够适应所带负载的启动性能。此外,电路还必须简单可靠,能够输出足够大的电流。在电子电路中,需要自制稳压电源供电。主要有电源变压器、整流电路、滤波电路、稳压电路做组成。 电源变压器: 通过升高和降低电压对交流电压对交流电压进行处理。 27 付蒙:直流电机转速控制系统 整流电路: 图 3.14 整流电路结构 Fig.3.14 The structure of rectifier circuit 利用二极管单向导电性,将正弦交流电转变为单向脉动直流电源。如图所示,整流电路为桥式整流电路。利用四个二极管结成桥式形式,使电压正负半周均有电流流过负载,在负载形成单相全波脉动电压。 滤波电路: 由于整流电路输出波形中含有较多纹波成分,通常在整流电路后接上滤波电路,以 滤去整流输出纹波。 稳压集成器7805简介: 7805 31 2 图 3.15 7805引脚 Fig.3.15 The pin configuration of 7805 图中的引脚号标注方法是按照引脚电位从高到底的顺序标注的。这样标注便于记忆。引脚 ?为最高电位,?脚为最低电位,?脚居中。不论正压还是负压,?脚均为输出端。对于7805正压系列,输入是最高电位,自然是?脚,地端为最低电位,即?脚。 7805在降压电路中应注意以下事项: (1)输入输出压差不能太大,太大则转换效率急速降低,而且容易击穿损坏; (2)输出电流不能太大,1.5A 是其极限值。大电流的输出,散热片的尺寸要足大,否则会导致高温保护或热击穿; (3)输入输出压差也不能太小,大小效率很差。 3.8.2 电源电路工作原理 +5V电源设计: 系统所需要的电压为+5V,因而需要把220V的电压通过变压器降压。选择的变压器是220V,6V,变压之后仍是有效值为6V的交流电,要转变为直流,必须经过整流桥整流,经过整流后需要在整流桥的两个输入端并上2200uF的电解电容和0(1uF的磁片电容,可以达到滤波的作用,之后再经过芯片L7805把电压钳位在+5V,再并联一个680uf的电 28 山东交通学院毕业设计(论文) 解电容,使输出稳压在+5V。 +24V电源设计: 系统所需要的电压为+24V,因而需要把220V的电压通过变压器降压。用变压器把220,降压为60,,该低压经整流,再用C1的大容量电解电容器4700μF以及C2的大容量电解电容器4700μF滤波,结果在可获得纹波很低的直流(DC)电压,之后再经过芯片L7805把电压钳位在+24V。 29 付蒙:直流电机转速控制系统 4 软件系统设计 4.1程序设计及程序语言简介 4.1.1程序及设计步骤 程序设计(Programming)是给出解决特定问题程序的过程,是软件构造活动中的重要组成部分。程序设计往往以某种程序设计语言为工具,给出这种语言下的程序。程序设计过程应当包括分析、设计、编码、测试、排错等不同阶段。 (1)问题分析。对于接受的任务要进行认真的分析,研究所给定的条件,分析最后应达到的目标,找出解决问题的规律,选择解题的方法。 (2)设计算法,即设计出解题的方法和具体步骤。 (3)编写程序。根据得到的算法,用一种高级语言编写出源程序。 (4)对源程序进行编辑、编译和连接。 (5)运行程序,分析结果。运行可执行程序,得到运行结果。能得到运行结果并不意味着程序正确,要对结果进行分析,看它是否合理。不合理要对程序进行调试,即通过上机发现和排除程序中的鼓掌过程。 (6)编写程序文档。许多程序是提供给别人使用的,如同正式的产品应当提供产品 说明书 房屋状态说明书下载罗氏说明书下载焊机说明书下载罗氏说明书下载GGD说明书下载 一样,正式提供给用户使用的程序,必须向用户提供程序说明书。内容应包括:程序名称、程序功能、运行环境、程序的装入和启动、需要输入的数据,以及使用注意事项等。 程序设计语言的基本成分有:?数据成分,用于描述程序所涉及的数据;?运算成分,用以描述程序中所包含的运算;?控制成分,用以描述程序中所包含的控制;?传输成分,用以表达程序中数据的传输。 4.1.2程序设计语言分类 程序设计语言按照语言级别可以分为低级语言和高级语言。低级语言有机器语言和汇编语言。低级语言与特定的机器有关、功效高,但使用复杂、繁琐、费时、易出差错。机器语言是表示成数码形式的机器基本指令集,或者是操作码经过符号化的基本指令集。汇编语言是机器语言中地址部分符号化的结果,或进一步包括宏构造。高级语言的表示方法要比低级语言更接近于待解问题的表示方法,其特点是在一定程度上与具体机器无关,易学、易用、易维护。 程序设计语言按照用户的要求有过程式语言和非过程式语言之分。过程式语言的主要特征是,用户可以指明一列可顺序执行的运算,以表示相应的计算过程,如FORTRAN、COBOL、PASCAL等。 按照应用范围,有通用语言与专用语言之分。如FORTRAN、COLBAL、 PASCAL、C语言等都是通用语言。 按照使用方式,有交互式语言和非交互式语言之分。具有反映人机交互作用 30 山东交通学院毕业设计(论文) 的语言成分的语言成为交互式语言,如BASIC等。不反映人机交互作用的语言称为非交互式语言,如FORTRAN、COBOL、ALGOL69、PASCAL、C语言等都是非交互式语言。 按照成分性质,有顺序语言、并发语言和分布语言之分。只含顺序成分的语言称为顺序语言,如FORTRAN、C语言等。含有并发成分的语言称为并发语言,如PASCAL、Modula和Ada等。 4.1.3程序设计规范及分类 程序设计的基本概念有程序、数据、子程序、子例程、协同例程、模块以及顺序性、并发性、并行性、和分布性等。程序是程序设计中最为基本的概念,子程序和协同例程都是为了便于进行程序设计而建立的程序设计基本单位,顺序性、并发性、并行性和分布性反映程序的内在特性。 程序设计规范是进行程序设计的具体规定。程序设计是软件开发工作的重要部分,而软件开发是工程性的工作,所以要有规范。语言影响程序设计的功效以及软件的可靠性、易读性和易维护性。专用程序为软件人员提供合适的环境,便于进行程序设计工作。 由于程序是软件的本体,软件的质量主要通过程序的质量来体现,在软件研究中,程序设计的工作非常重要,内容涉及到有关的基本概念、工具、方法以及方法学等。 按照结构性质,有结构化程序设计与非结构化程序设计之分。前者是指具有结构性的程序设计方法与过程。它具有由基本结构构成复杂结构的层次性,后者反之。按照用户的要求,有过程式程序设计与非过程式程序设计之分。前者是指使用过程式程序设计语言的程序设计,后者指非过程式程序设计语言的程序设计。按照程序设计的成分性质,有顺序程序设计、并发程序设计、并行程序设计、分布式程序设计之分。按照程序设计风格,有逻辑式程序设计、 函数 excel方差函数excelsd函数已知函数     2 f x m x mx m      2 1 4 2拉格朗日函数pdf函数公式下载 式程序设计、对象式程序设计之分。 程序设计的基本概念有程序、数据、子程序、子例程、协同例程、模块以及顺序性、并发性、并行性、和分布性等。程序是程序设计中最为基本的概念,子程序和协同例程都是为了便于进行程序设计而建立的程序设计基本单位,顺序性、并发性、并行性和分布性反映程序的内在特性。 程序设计规范是进行程序设计的具体规定。程序设计是软件开发工作的重要部分,而软件开发是工程性的工作,所以要有规范。语言影响程序设计的功效以及软件的可靠性、易读性和易维护性。专用程序为软件人员提供合适的环境,便于进行程序设计工作。 结构式语言的显著特点是代码及数据的分隔化,即程序的各个部分除了必要的信息交流外彼此独立。这种结构化方式可使程序层次清晰,便于使用、维护以及调试。 31 付蒙:直流电机转速控制系统 4.2 编程思路及流程图 4.2.1 总体流程图及程序初始化简介 开始 端口初始化 否 有按键, 是 PWM 否 A/D采集 图 4.1 总体控制流程图 Fig.4.1 Overall control flow chart 过压 程序初始化简介: 初始化就是把变量赋为默认值,把控件设为默认状态,把没准备的准备好。 但是是 如果是整个系统初始化那就不一样了。在汇编语言中,为变量分配空间时,初始化过的 停止变量的初值位于可执行文件代码段数据后,会占用一定空间,不必要的初始化会造成磁盘空间的浪费。在C语言等高级语言中,为每一个变量赋初值被视为良好的编程习惯,有助于减少出现Bugs的可能性。因此,是否对不必要的变量初始化依情况而定。 一般的情况下是在上电的时候初始化,还有就是程序跑飞和出现异常时也要初始化,初始化的目的就是把所有用到的标志位、变量回到你的初始值,以及引脚的分配,配置寄存器写入需要的值,像时钟的选择(内部、外部)一般在上电时就做好,以后不是特殊需要是不改动的,引脚功能的分配也是一上来就设置好,之后如果可以就不改动,如果经常性的改可能会引发异常。 程序初始化举例: 设置TMOD方式值(MOV TMOD,#11H); 将定时时间常数和初值放入TH和TL(MOV THO,#07H;MOV TLO,#OFFH;MOV TH1,#01H;MOV TL1,#OF8H); 定时器中断的开放与禁止 (SETB EA ;SETB ETO ;SETB ET1; CLR EA ;CLR ETO ;CLR ET1)。 32 山东交通学院毕业设计(论文) 4.2.2 中断服务子程序流程图及程序简介 开始 初始化 否 信号输入, 是 执行中断 图 4.4 中断子程序流程图 计算转速 Fig.4.4 Interrupt subroutines flow chart 定时器/计数器初始化简介: 结束(1)定时器/计数器计数过程:每来一个脉冲计数器加1,当加到计数器为全1(即FFFFH)时,再输入一个脉冲就使计数器回零,且计数器的溢出使TCON中TF0或TF1置1,。向CPU发出中断请求(定时器/计数器中断允许时)。如果定时器/计数器工作于定时模式,则表示定时时间已到;如果工作于计数模式,。则表示计数值已满。 (2)定时器/计数器定时应用:用作定时器:此时设置为定时器模式,加1计数器是对内部机器周期计数(1个机器周期等于12个振荡周期,即计数频率为晶振频率的1/12)。计数值N乘以机器周期Tcy就是定时时间t 。 (3)定时器/计数器计数运用:用作计数器:此时设置为计数器模式,外部事件计数脉冲由T0或T1引脚输入到计数器。每来一个外部脉冲,计数器加1。但单片机对外部脉冲有基本要求:。脉冲的高低电平持续时间都必须大于1个机器周期。 (4)工作方式简介:方式0为13位计数,由TL0的低5位(高3位未用)和TH0的8位组成TL0的低5位溢出时向TH0进位,TH0溢出时,置位TCON中的TF0标志,向CPU发出中断请求。方式1的计数位数是16位,2、或运算 ——— 至少有一个条件具备,由TL0(TL1)作为低8位、TH0(TH1)作为高8位,(2)把逻辑函数值为1所对应的各变量的与组合相加,组成了16位加1计数器 。还可以认为是一种技术的名字。 在方式2下,当计数器计满255(FFH)溢出时,CPU自动把TH 的值装入TL中,不需用户干预。因此特别适合于用作较精确的脉冲信号发生器。方式3只适用于定时器/计数器T0,。定时器T1方式3时相当于TR1=0,停止计数。工作方式3将T0分成为两 33 付蒙:直流电机转速控制系统 个独立的8位计数器TL0和TH0 。 定时器/计数器程序初始化: ET0=1; ET1=1; TMOD=0x15; TH0=0x4B; TL0=0xFF; TH1=0xFF; TL1=0xFE; TR0=1; TR1=1; 中断服务子程序: void T0_int(void) interrupt 1 { TH0=0x4b; TL0=0xFF; TR0=1; time++; if(time==1200) TR1=0; else TR1=1; time=0; } void T1_int(void) interrupt 3 { TH1=0xFF; TL1=0xFE; TR1=1; count_speed++; if(TR1==0) display=count_speed/12; } 中断服务子程序为转速信号控制单片机实行中断,计算出转速。其中速度计算使用 M/T方法,即同时在同一时间内脉冲发生器产生的脉冲数,及内部时钟脉冲数,来测量 转速。在单片机里,可以用T0定时,T1计数,来实现功能。 34 山东交通学院毕业设计(论文) 4.2.3 显示子程序流程图及说明 开始 初始化 显示初始值 否 中断执行, 是 显示转速 结束 图 4.2 显示子程序流程图 Fig.4.2 Display subroutines flow chart 显示子程序: void S8951r(uchar k) //LCD写命令函数 { RS=0; RW=0; P1=k; E=1; E=0; delay(2); } void S8951ddr(uchar k) //LCD写数据函数 { RS=1; RW=0; P1=k; E=1; E=0; delay(2); } 当启动系统未执行中断时,显示器LCD1602显示系统设置的显示初始值。在执行 中断后,传感器测速信号经整形放大后在单片机内测出速度,控制显示电路显示速度信 35 付蒙:直流电机转速控制系统 号。LCD1602显示实际的速度信号。 4.2.4 电机控制子程序流程图及控制子程序 开始 否 运行, 是 是 正转按下,设置正压 否 是 反转按下,设置负压 否 是 加速按下,增加占空比 否 是 减速按下,减少占空比 否 结束 图 4.3 键盘控制流程图 Fig.4.3 Keyboard control flow chart 控制子程序: void PWM_OUT(void) //按键处理// { if(change==1) { PWM1=1; PWM2=1; delay_ms(PWM_TIME_H); PWM2=0; delay_ms(PWM_TIME_L); } else { PWM1=1; PWM2=1; delay_ms(PWM_TIME_H); PWM1=0; delay_ms(PWM_TIME_L); 36 山东交通学院毕业设计(论文) } } void motor_control() //按键控制// { if(open==1) EA=1; if(close==1) EA=0; if(swap==1) { change=~change; while(swap!=0) {PWM_OUT();} } if(sub_speed==1) { if(PWM_TIME_L > 0) { PWM_TIME_L--; PWM_TIME_H = 100-PWM_TIME_L; } while(sub_speed!=0) {PWM_OUT();} } if(add_speed==1) { if(PWM_TIME_L < 100) { PWM_TIME_L++; PWM_TIME_H = 100-PWM_TIME_L; } while(add_speed!=0) {PWM_OUT();} } } 控制子程序简介: 按键1,启动按键,中断启动;按键2,关闭中断; 按键3,反向控制;按键4, 加速控制;按键5,减速控制。用change作为正反转控制的程序名,当change为1时, 实行转向;当change为0时,不做控制。主要实行按键控制,增加占空比,来控制转 速。 37 付蒙:直流电机转速控制系统 结 论 本文介绍的基于单片机的直流电机转速控制测量系统,论文首先分析了直流电机工作原理,有其基本原理得到直流电机转速控制的可行性,然后设计了系统的硬件电路。硬件电路以89S51单片机系统为控制核心,设计了键盘电路,显示电路,测量电路,信号处理电路,驱动电路电路和电源电路。利用了光电红外对管实现脉冲测量,经过信号处理电路,由模拟信号转换为数字信号,有M/T法测出转速,驱动控制电机,并显示转速。系统采用H桥式驱动电路,降低了成本,并实现调速的多功能,使工作变得十分方便。在软件设计中,采用了模块化程序设计思想,包括控制模块,显示模块,中断模块以及主程序。 本文也有许多不足之处,电机驱动模块使用24V电源驱动电机,由于三极管分压,使加在电机上的电压减少,并且使用于小功率电机,在实际中对大功率电机效果欠佳。 38 山东交通学院毕业设计(论文) 致 谢 在贺桂芳导师的悉心指导下,我顺利完成了本次毕业设计,在毕业设计的过程中,贺老师的认真指导使我少走了很多弯路,解决了我在毕业设计当中遇到的很多困难和问题,对我的论文提出了很多的改进意见。贺老师做事非常认真,一丝不苟,这也是我在今后工作和学习中应当学习之处。在此,我首先向贺老师致以深深的敬意和衷心的感谢~ 同时,感谢其他在我论文完成过程中帮助我和指导我的老师、同学,以及大学四年来在学习、生活中给我帮助的老师和同学们。 最后,感谢养育我的父母,是他们给予了我物质上的支持和精神上的关怀。 39 付蒙:直流电机转速控制系统 参考文献 [1]谭浩强.C程序设计[M].清华大学出版社,2007. [2]刘瑞新.单片机原理及应用教程[M].机械工业出版社,2003. [3]杨天明.电机与拖动[M]. 北京:中国林业出版社,2006. [4]张俊谟.单片机中级教程[M].北京:北京航空航天大学出版社,2001. [5]李晓贞.基于单片机的步进电机调速研究[J] .农业装备与车辆工程,2008,(5). [6]贾伯年,俞朴.传感器技术.南京:东南大学出版社,2000. [7]徐仁贵,廖哲智.单片微型计算机应用技术.北京:机械工业出版社,2001. [8]李全利.单片机原理及接口技术.高等教育出版社,2009. [9]何希才.传感器及其应用电路.北京:电子工业出版社,2001 [10]李正军.计算机测控系统设计与应用.机械工业出版社,2004. [11]Tim Wilmshurst Newnes. Designing Embedded Systems with PIC Microcontrollers. Newnes,2006. 40 山东交通学院毕业设计(论文) 附录A 硬件电路图 直流电机转速控制系统电路图 M 15VccCSVCCVCC26+5VCHDCLK+24V 37CHID0R13R14Q448Q51K1KGNDD1D1901390134148D24148Q2Q390139013 ZYD34148R11D41KQ7Q6414890129012VCC+5VR12VCC1KR17P3.2R16D6D5+5V1K1KVCCA2R6R7R8P3.3R9R10+5V1K1K1K1K1KADC0832K1P3.4VCCK2A1+5VLM358K3D7K489S51K5P1.0P0.0P1.1P0.1P1.2P0.2P1.3P0.3VCCVCCP1.4P0.4VCCR5+5V+5VP1.5P0.5+5VK10KR4P1.6P0.610KP1.7P0.7R15C410KC622PF P3.0/RXDP2.0VCC10μF P3.1/TXDP2.1C512MBLA P3.2/INT0P2.222PFVCCBLK P3.3/INT1P2.3+5VRB7P3.4/T0P2.4RB6P3.5/T1P2.5RB5P3.6/WRP2.6RB4P3.7/RDP2.7RB3R3BB2 EA/VPP Vcc10KRB1 VPD/RST ALE/PROGRB0 XTAL1 PSEN 1486 E XTAL2Vss RW RS VOVSS LCD1602 R2Q11009013图 41 付蒙:直流电机转速控制系统 附录B 程序 #include #include #define uchar unsigned char #define uint unsigned int #define _Nop() sbit open=P2^0; //按键定义// sbit close=P2^1; sbit swap=P2^2; sbit sub_speed=P2^3; sbit add_speed=P2^4; sbit PWM1=P1^0; // PWM定义// sbit PWM2=P1^2; uchar PWM_TIME_H,PWM_TIME_L; sbit E=P3^7; //显示定义// sbit RW=P3^6; sbit RS=P3^5; int time=0; //中介定义// int change=0; int display=0; int count_speed=0; uchar wword[]={0x30}; void delay(uchar t) //短延时函数// { uint i; while(t) { for(i=0;i<125;i++); t--; } } 42 山东交通学院毕业设计(论文) void delay_ms(uchar i) //PWM 延时函数// { uchar x,y,z; for(x=0;x 0) { PWM_TIME_L--; 45 付蒙:直流电机转速控制系统 PWM_TIME_H = 100-PWM_TIME_L; } while(sub_speed!=0) {PWM_OUT();} } if(add_speed==1) { if(PWM_TIME_L < 100) { PWM_TIME_L++; PWM_TIME_H = 100-PWM_TIME_L; } while(add_speed!=0) {PWM_OUT();} } } void main() //主函数// { P2=0x00; ET0=1; ET1=1; TMOD=0x15; TH0=0x4B; TL0=0xFF; TH1=0xFF; TL1=0xFE; TR0=1; TR1=1; PWM1=0; PWM2=0; PWM_TIME_H=50; PWM_TIME_L=50; init(); while(1) { 46 山东交通学院毕业设计(论文) S8951r(0x84); S8951ddr('h'); S8951ddr('e'); S8951ddr('l'); S8951ddr('l'); S8951ddr('o'); datamade(); motor_control(); } } 47
本文档为【直流电机转速控制系统】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_562397
暂无简介~
格式:doc
大小:154KB
软件:Word
页数:69
分类:工学
上传时间:2017-09-30
浏览量:71