首页 EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计

EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计

举报
开通vip

EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计 《EDA技术及可编程器件应用实训》 课程设计报告 院系 机械电子工程系 姓名 专业班级 07通信技术一班 学号 指导老师 日期:2009年 6 月 10 日 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the bra...

EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计
EDA技术及可编程器件应用实训课程设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 -基于CPLD技术的数字万年历设计 《EDA技术及可编程器件应用实训》 课程设计报告 院系 机械电子工程系 姓名 专业班级 07通信技术一班 学号 指导老师 日期:2009年 6 月 10 日 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 《EDA技术及可编程器件应用实训》课程设计报告 一、设计内容: 课程设计题目:基于CPLD技术的数字万年历设计。课题设计的万年历具有年、月、日、时、分、秒计数功能,以秒、分、时、日、月、年进制循环计时;具有清零、调时功能、报时功能。 二、设计意义 通过理论学习和实践动手操作,可以掌握小型数字系统的设计方法。理论学习中了解到大规模可编程逻辑器件CPLD/FPGA的内部结构和外部特性;了解到EDA设计的方法;掌握硬件描述语言VHDL程序方法和思路;学会使用 Quartus II开发平台。 通过具体的数字百年历的项目设计,可深切地领会到EDA硬件设计软件化的特点;掌握六十进制、二十四进制、十二进制、及通过语言VHDL程序来控制三十、 三十一、 二十八、二十九进制的选择、五选一、二选一 ,从而实现计数器的设计方法;同时掌握CPLD技术的层次化结构化设计方法;并能熟练使用Quartus II开发小中型数字系统,解决调试过程中所遇到的一些问题。 三、设计方法 常见数字百年历具有计时和显示、报时功能。电路设计中包括分频模块,用于将外部晶振产生的一定周期的时钟信号计数产生1s时钟信号;60进制的秒、分计数模块;24进制的时计数模块;12进制的月计数模块;通过语言选择的闰年平年天数选择模块;5选一的调时控制模块;2选一模式转换模块;另有显示模块和整点报时信号端口。 1、 同步计时 六十进制加法器的程序如下。其中,cout为输出 端口信号,clk为分频模块产生的秒计时信号(时钟信号),q1和q2为秒计数中十进制数所对应的高位和低位信号。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. entity cnt60 is port(clk:in std_logic; cout:out std_logic; q1,q2:out std_logic_vector(3 downto 0)); architecture behav of cnt60 is begin variable cq1,cq2:std_logic_vector(3 downto 0); begin if clk'event and clk='1' then cq1:=cq1+1; if cq1>9 then cq1:="0000"; cq2:=cq2+1; end if; if cq2=6 and cq1=0 then cq1:="0000";cq2:="0000";cout<='1'; else cout<='0'; end if; q1<=cq1;q2<=cq2; end process; end; 所写程序经过仿真的如上图所示。同理分和秒一样都是六十进制只不过是把程序中q1,q2分别改为分的十位,个位而已,有程序生成六十进制模块图。如下their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 该程序在编写过程中应注意IF语句的结束标志END IF所对应的是那一部分. 2、 同步计时二十四进制加法器的程序如下。其中,cout为输出 端口信号,clk为分频模块产生的时计时信号(时钟信号),q1和q2为时计数中十进制数所对应的高位和低位信号。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port(clk:in std_logic; q1:out std_logic_vector(3 downto 0); q2:out std_logic_vector(3 downto 0); cout:out std_logic); end cnt24 architecture behav of cnt24 is begin process(clk) variable cq1:std_logic_vector(3 downto 0) ; variable cq2:std_logic_vector(3 downto 0) ; begin if clk'event and clk='1' then cq1:=cq1+1; if cq1>9 then cq1:="0000"; cq2:=cq2+1;end if; if cq1=4 and cq2=2 then cq1:=(others=>'0'); their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. cq2:=(others=>'0') ;cout<='1'; else cout<='0'; end if; q1<=cq1; q2<=cq2; end process; end; 程序中实体结束处end cnt24 后缺少分号. their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 程序中IF语句是多层存在,因此应当两次结束,绿色所标注的部分上边缺少end if;改正后的程序仿真得到二十四进制模块图如下: 3、天的模块的程序如下。clk为分频模块产生的天计时信号(时钟信号),cq2和cq1为天计数中十进制数所对应的高位和低位信号,cout为进位位,pan为判断位,判断计到多少天才进位。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY tian IS PORT( pan :IN STD_LOGIC_VECTOR(1 DOWNTO 0); clk :IN STD_LOGIC; cq1 :OUT STD_LOGIC_VECTOR (3 DOWNTO 0); cq2 :OUT STD_LOGIC_VECTOR (3 DOWNTO 0); cout :OUT STD_LOGIC); END; ARCHITECTURE behav OF tian IS signal cq3,cq4: STD_LOGIC_VECTOR (3 DOWNTO 0); PROCESS(clk) BEGIN IF clk'EVENT and clk='1' THEN cq3<=cq3+1; IF cq3=9 THEN cq4<=cq4+1;cq3<="0000"; END IF; CASE pan IS WHEN "00"=>IF cq3="0001" and cq4="0011" THEN their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. cq3<="0001";cq4<="0000";cout<='1';ELSE cout<='0';END IF; WHEN "01"=>IF cq3="0000" and cq4="0011" THEN cq3<="0001";cq4<="0000";cout<='1';ELSE cout<='0';END IF; WHEN "10"=>IF cq3="1000" and cq4="0010" THEN cq3<="0001";cq4<="0000";cout<='1';ELSE cout<='0';END IF; WHEN "11"=>IF cq3="1001" and cq4="0010" THEN cq3<="0001";cq4<="0000";cout<='1';ELSE cout<='0';END IF; WHEN others=>NULL; END CASE; END IF; cq1<=cq3; cq2<=cq4; END PROCESS; END; 经过仿真从警告处发现错误所在,程序中进程前缺少BEGIN。修改后仿真可得 天的模块图。 4、月的模块的程序。clk为分频模块产生的月计时信号(时钟信号),cq2和cq1 为月计数中十进制数所对应的高位和低位信号,cout为进位位,run为闰年进位 位,pan为输入判断位。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY yue IS port(clk :IN STD_LOGIC; run :IN STD_LOGIC; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. cout :OUT STD_LOGIC; pan :OUT STD_LOGIC_VECTOR(1 DOWNTO 0); cq1,cq2 :OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ; ARCHITECTURE behav OF yue IS signal cq3,cq4: STD_LOGIC_VECTOR (3 DOWNTO 0); signal cq5: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN PROCESS(clk) BEGIN IF clk'EVENT and clk='1' THEN cq3<=cq3+1; IF cq3=9 THEN cq4<=cq4+1;cq3<="0000"; END IF; IF cq3=2 and cq4=1 THEN cq3<="0001";cq4<="0000";cout<='1';ELSE cout<='0'; END IF; END IF; cq5<=cq4&cq3; CASE cq5 IS WHEN "00000001"=>pan<="00"; ——一月 WHEN "00000010"=>if run='01' then pan<="11";else pan<="10";end if; ——二月 WHEN "00000011"=>pan<="00"; ——三月 WHEN "00000100"=>pan<="01"; ——四月 WHEN "00000101"=>pan<="00"; ——五月 WHEN "00000110"=>pan<="01"; ——六月 WHEN "00000111"=>pan<="00"; ——七月 WHEN "00001000"=>pan<="00"; ——八月 WHEN "00001001"=>pan<="01"; ——九月 WHEN "00001010"=>pan<="00"; ——十月 WHEN "00001011"=>pan<="01"; ——十一月 WHEN "00001100"=>pan<="00"; ——十二月 WHEN others=>NULL; END CASE; cq1<=cq3; cq2<=cq4; END PROCESS; END; 仿真得如下图; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 程序中WHEN "00000010"=>if run='01' then pan<="11";else pan<="10";end if;处出现错误应该是run=' 1'。仿真后得模块图。 5、年的模块的程序。clk为分频模块产生的年计时信号(时钟信号),cq2和cq1为年计数中十进制数所对应的高位和低位信号,cout为进位位,run为闰年进位位。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY nian IS port(clk :IN STD_LOGIC; cq1,cq2 :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); run :OUT STD_LOGIC); END; ARCHITECTURE behav OF nian IS signal cq3,cq4:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(clk) BEGIN IF clk'EVENT and clk='1' THEN cq3<=cq3+1; IF cq3=9 THEN cq3<="0000";cq4<=cq4+1; END IF; IF cq3=9 and cq4=9 THEN cq3<="0000";cq4<="0000"; END IF; END IF; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. cq1<=cq3;cq2<=cq4; END PROCESS; PROCESS(clk) variable cq5:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF clk'EVENT and clk='1' THEN cq5〈=cq5+1; IF cq5>=3 THEN run<='1';cq5〈="0000";ELSE run<='0'; END IF; END IF; END PROCESS; END ; 程序中cq5〈=cq5+1;应改为 cq5:=cq5+1;仿真成功后得年的模块图。 6、五选一多路选择器的模块的程序。mi,fi,si,ti,yi 为秒、分、时、天、月输入端的端口名,k1,k2为通道选择控制信号输入端的端口名,fo,so,tl,yo,no 为分、时、天、月、年输出端的端口名,l1,l2,l3,l4,l5为输出端的端口名。 END; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY mux51 IS PORT( k1,k2 :IN STD_LOGIC; mi,fi,si,ti,yi :IN STD_LOGIC; fo,so,tl,yo,no :OUT STD_LOGIC; l1,l2,l3,l4,l5 :OUT STD_LOGIC); END; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. ARCHITECTURE behav OF mux51 IS signal a: STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN PROCESS(k1,k2) BEGIN IF k1'EVENT and k1='1' THEN a<=a+1; IF a=5 THEN a<="0000"; END IF; END IF; CASE a IS WHEN "0000"=>fo<=mi;so<=fi;tl<=si;yo<=ti;no<=yi; 选通秒模块 l1<='0';l2<='0';l3<='0';l4<='0';l5<='0'; WHEN "0001"=>fo<=k2;so<='0';tl<='0';yo<='0';no<='0'; 选通分模块 l1<='1';l2<='0';l3<='0';l4<='0';l5<='0'; WHEN "0010"=>fo<='0';so<=k2;tl<='0';yo<='0';no<='0'; 选通时模块 l1<='0';l2<='1';l3<='0';l4<='0';l5<='0'; WHEN "0011"=>fo<='0';so<='0';tl<=k2;yo<='0';no<='0'; 选通天模块 l1<='0';l2<='0';l3<='1';l4<='0';l5<='0'; WHEN "0100"=>fo<='0';so<='0';tl<='0';yo<=k2;no<='0'; 选通月模块 l1<='0';l2<='0';l3<='0';l4<='1';l5<='0'; WHEN "0101"=>fo<='0';so<='0';tl<='0';yo<='0';no<=k2; 选通年模块 l1<='0';l2<='0';l3<='0';l4<='0';l5<='1'; WHEN others=>NULL; END CASE; END PROCESS; END; their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 五选一模块程序的编写要注意输入与输出的对应,明确选通控制键是在什么通道上,从而取 对应相应的输出。 7、二选一模块的程序。k3为时钟信号,mg,ms,fg,fs,sg,ss,tg,ts,yg,ys,ng,ns为秒、分、 时、天、月、年个位和十位输入端的端口名,l6为输出信号,p1,p2,p3,p4,p5,p6为秒、 分、时、天、月、年输出计数端口。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY mux21 IS PORT( k3 :IN STD_LOGIC; mg,ms,fg,fs,sg,ss,tg,ts,yg,ys,ng,ns :IN STD_LOGIC_VECTOR (3 DOWNTO 0); p1,p2,p3,p4,p5,p6 :OUT STD_LOGIC_VECTOR (3 DOWNTO 0); l6 :OUT STD_LOGIC); END; ARCHITECTURE behav OF mux21 IS signal a: STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN PROCESS(k3) BEGIN IF k3='1' THEN p1<=mg;p2<=ms;p3<=fg;p4<=fs;p5<=sg;p6<=ss;l6<='1'; ELSE p1<=tg;p2<=ts;p3<=yg;p4<=ys;p5<=ng;p6<=ns;l6<='0'; END IF; END PROCESS; END; 二选一模块是对百年历走时和显示年月日进行调换的模块。 8、构建顶层文件 (1)在F盘中新建一个文件夹,命名为bainianli,把上述创建的.vhd和.bsf的文件都复制到bainianli文件夹中。 (2)、重新打开Quartus II软件,把dianzibiao文件夹中的CNT60程序复制到 此,保存在bainianli文件夹中,保存名还为CNT60.vhd,然后创建工程,工程名 为:bainianli 如下图,目标芯片应选择:EP2C5T144C8,Family应选择:Cyclone II their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. (2)选择File菜单中Create /Update,Create Symbol Files for Create File选项,运行成功后。在窗口中选择菜单File,New,Device Design Files,Block Diagram/Schematic File选项,即可调入上述的所有元件,连线即可构成百年历原理图 ,然后保存名为bainianli.bdf,然后进行编译(启动Start Compilation)一次。 mux21INPUTOUTPUTk3p1[3..0]k3p1[3..0]VCCOUTPUTp2[3..0]mg[3..0]p2[3..0]OUTPUTp3[3..0]ms[3..0]p3[3..0]OUTPUTp4[3..0]fg[3..0]p4[3..0]OUTPUTp5[3..0]fs[3..0]p5[3..0]OUTPUTp6[3..0]sg[3..0]p6[3..0]OUTPUTl6ss[3..0]l6tg[3..0]ts[3..0]yg[3..0]ys[3..0]ng[3..0]ns[3..0]inst2 CNT60CNT60CNT24tianyueINPUTclkclkcq1[3..0]clkcq1[3..0]clkcq1[3..0]pan[1..0]cq1[3..0]VCCcq2[3..0]cq2[3..0]cq2[3..0]clkcq2[3..0]clkcoutcoutcoutcoutcoutrunpan[1..0]cq1[3..0]inst7inst1instinst5cq2[3..0] inst6 mux51 nianINPUTk1k1foVCCINPUTk2k2soVCCclkcq1[3..0]mitlcq2[3..0]fiyorunsinoinst4OUTPUTl1til1OUTPUTl2yil2OUTPUTl3l3OUTPUTl4l4OUTPUTl5l5 inst3 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. (3)、选择Assignments菜单中的Pins项,将弹出目标器件的引脚图编辑窗 ,如下图选择实验模型N0.7,对照模型绑定引脚。 (4)、最后存储上述引脚锁定的信息后,必须再编译(启动Start Compilation)一次,才能将引脚锁定信息编译进编程下载文件中。选择programmer选项则出 如下图 ,将Hardware Setup设置为USB-Blaster[USB-0],把Program/Configure下面的小方框点对勾,然后点击star则下载到实验箱上,调试则出现年月日、时 分秒的计时。 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 四、设计过程中出现的问题及解决方法 VHDL 语言与C语言程序 存在不同 ,一些C语言上正确的语句 格式 pdf格式笔记格式下载页码格式下载公文格式下载简报格式下载 在 VHDL 语言上是错误的。在运行顺序上,C语言是顺序执行的而VHDL语言是 借助Quartus II平台 并行执行的,因此在效率上VHDL语言运行速度较快,效 率较高。另外C语言是严格的文本编辑语言,VHDL的Quartus II平台则不同它 支持符号编辑,例如本设计中的顶层文件可以通过符号编辑器来替代。VHDL语 言的Quartus II平台提供了波形仿真, 对端口的定义和模块的调用更为灵活,为 最终所有模块的逻辑连接变得极其的直观 。 问题及解决 办法 鲁班奖评选办法下载鲁班奖评选办法下载鲁班奖评选办法下载企业年金办法下载企业年金办法下载 1. 程序调试过程中 二十四进制程序 问题Error: Verilog HDL syntax error at cnt24.vhd(10) near text "architecture"; expecting“;” 解决办法:end cnt24后加“;” 问题Error: Verilog HDL syntax error at cnt24.vhd(27) near text "process"; expecting a sequenial statement, 解决办法:在进程结束前缺少“end if;” 天程序 问题 Error: Verilog HDL syntax error at tian.vhd(13) near text "PROCESS"; expecting "begin", or a declaration statement, 解决办法:进程前缺少"begin", 月程序 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development. 问题 Error: Verilog HDL syntax error at yue.vhd(26) near text "'"; expecting "(", or an identifier, or unary operator, 解决办法:把标注的一句改为WHEN "00000010"=>if run='1' then pan<="11";else pan<="10";end if 年模块 问题 Error: Verilog HDL syntax error at nian.vhd(27) near text ? 解决办法:把cq5〈=cq5+1;改为cq5:=cq5+1; 2 构建顶层元件,出现的问题: 1,调入CNT60程序,创建工程时,工程名一定不要在写成CNT60,要写成 bainianli,不然在调入元件的时候只出现CNT60元件而不会出现年月日时分的元 件。 2.在调入程序时,只要调入其中任意一个然后创建工程就可以,不要把所有程序 都调入和创建工程。 3.在绑定引脚的时候要细心,不然 下载到实验箱上百年历不能正常的计数 。 五( 心得体会 决胜全面小康心得体会学党史心得下载党史学习心得下载军训心得免费下载党史学习心得下载 首先,在软件方面。以前在EDA课程的学习中了解了什么是VHDL语言, 能够读懂一些简单的VHDL语言,运用VHDL语言编写一些简单的程序来实现 数字电路中基本的逻辑运算,能够对VHDL语言平台Quartus II和MAX+plusII有所区别。通过这次实训使我对VHDL语言及平台Quartus II角度上有所改变不 再是纯粹的编写一些程序,而是有目的的去编写某些程序,同时这也是思想上的 变化。提升了VHDL语言纠错的能力,更加熟悉Quartus II平台各项功能。能够 编写一些比较复杂一点的程序。因此,说在软件方面有所提升。 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, legal consultation, examination and approval procedures, strict regulation of economic activities, attract injection the social investment to the industry group, to achieve leveraging the development, ensure that the value of state-owned assets.(five) to strengthen the construction management personnel. Strengthen the management of education and training of cadres and workers of the existing business, firmly establish the concept of the market, enhance the sense of crisis to adapt to market competition, the sense of urgency, improve the ability to respond to market competition, improve management and operation of the market. At the same time, according to the need of industrial development, vigorously the introduction of high-quality management management personnel, and strive to build a high-quality professional management team, hard work, and promote the entire workforce knowledge structure, age structure, structure optimization and upgrading ability, enhance core competitiveness, adapt to the need of market competition.(six) seriously study the policy for policy. Serious research about social support the development of cultural undertakings in the country and the XX policy, especially the policy of industrial development, financial investment policy, financial policy and tax policy, and actively seek policy, projects and funds, enterprise and industry group mission to promote leapfrog development.
本文档为【EDA技术及可编程器件应用实训课程设计报告-基于CPLD技术的数字万年历设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_882336
暂无简介~
格式:doc
大小:443KB
软件:Word
页数:43
分类:工学
上传时间:2017-11-27
浏览量:30