首页 基于AD9834信号发生器的设计

基于AD9834信号发生器的设计

举报
开通vip

基于AD9834信号发生器的设计吉林化工学院毕业设计说明书 多功能信号发生器的设计 Design of Multi-function Signal Generator 学生学号:          学生姓名:            专业班级:        指导教师:            职    称:          起止日期: 2010.3.1~2010.6.12 吉 林 化 工 学 院 Jilin Institute of Chemical Technology 摘    要 信号发生器,是一种用于产生标准信号的电子仪器...

基于AD9834信号发生器的设计
吉林化工学院毕业设计说明书 多功能信号发生器的设计 Design of Multi-function Signal Generator 学生学号:          学生姓名:            专业班级:        指导教师:            职    称:          起止日期: 2010.3.1~2010.6.12 吉 林 化 工 学 院 Jilin Institute of Chemical Technology 摘    要 信号发生器,是一种用于产生标准信号的电子仪器,广泛地应用于电子电路、自动控制系统和教学实验等领域。随着科学技术的发展,对它的要求越来越高。 本设计的核心由单片机C8051F410和AD9834构成,主要包含二个方面:硬件设计和软件的设计的实现。硬件的设计以单片机C8051F410为核心,外围电路的设计包括键盘模块的设计、液晶显示模块的设计、DDS模块的设计、电源模块的设计等。软件设计的核心是C语言的开发及应用,主要有主程序、键盘子程序、液晶显示子程序、DDS模块子程序。信号发生器可产生三角波、方波、正弦波等多种波形且价格低廉。 关键词:信号发生器;单片机C8051F410;DDS AD9834 Abstract The signal generating device, is one kind uses in producing standard signal electronic instrumentation,and widely applies in electronic circuit, automatic control system and teaching experiment domain and so on. With the development of science and technology, it is increasingly high required for it. The core of design is constituted by the MCU C8051F410 and the AD9834. Design has two main areas: hardware design and software design implementation. C8051F410 microcontroller hardware design is as the core, the external circuit design, including keyboard module designing, dds module designing, liquid crystal circuit designing, power modules designing. Software design is the C language development and application of main program, keyboard subroutine, LCD display subroutine, DDS module subroutine. The signal generator  may have the triangular wave, the square-wave, the sine wave and have low price in practice. Key Words:Signal generator;  MCU C8051F410; DDS AD9834 目    录 摘    要    I Abstract    II 第1章 绪    论    1 1.1 课题研究背景及意义    1 1.2 信号发生器发展状况    2 1.3 本文主要的研究内容    3 第2章 多功能信号发生器    4 2.1 信号发生器    4 2.1.1 信号发生器概述    4 2.1.2 信号发生器的种类    4 2.2 DDS概述    5 2.2.1 DDS涵义    5 2.2.2 DDS的特点    5 2.2.3 DDS原理    6 2.2.4 DDS的发展状况    7 第3章 控制系统的设计    8 3.1 整体设计框图    8 3.2 器件的选择    8 第4章 系统硬件电路设计    10 4.1 整体电路设计    10 4.2 C8051F410的最小系统设计    10 4.2.1 单片机系统概述    10 4.2.2 复位电路设计    13 4.2.3 最小系统的硬件接口电路设计    14 4.3 电源设计    14 4.4 LCD液晶显示模块的设计    15 4.4.1 液晶3310操作说明    15 4.4.2 液晶3310与控制器的接口电路    16 4.5 键盘模块的设计    17 4.5.1 键盘概述    17 4.5.2 键盘工作方式    18 4.5.3 双功能及多功能键    19 4.5.4 功能开关及拨码盘接口    19 4.5.5 按键介绍    20 4.6 DDS 模块的设计    21 4.6.1 AD9834模块的特点    21 4.6.2 功能描述    22 4.6.3 电路描述    23 4.6.4 AD9834引脚描述    24 第5章 系统软件设计    26 5.1 系统软件功能    26 5.2 DDS模块子程序流程图    27 结    论    31 参考文献    32 致    谢    33 第1章 绪    论 1.1 课题研究背景及意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,许多信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率精度、多功能、自动化和智能化方向发展。 在科学研究、 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。 但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。但在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机的强大功能,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。 该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A转换,单片机(C8051f410单片机,显示电路,键盘控制),显示和各模块的连接通信等各个部分要熟练连接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 1.2 信号发生器发展状况 目前我国在研制信号发生器等方面有可喜的成果。但总的来说。我国信号发生器还没有形成真正的产业。中国函数信号发生器产业发展出现的问题中,许多情况不容乐观,如产业结构不合理、产业集中于劳动力密集型产品;技术密集型产品明显落后于发达工业国家;生产要素决定性作用正在削弱;产业能源消耗大、产出率低、环境污染严重、对自然资源破坏力大;企业总体规模偏小、技术创新能力薄弱、管理水平落后等。就目前国内的成熟产品来看,核心部分多为专用芯片,存在着成本高、控制不灵活等缺点,并且我国目前信号发生器的种类和性能都与国外同类产品存在较大的差距,因此,开发出高性价比的函数信号发生器,保持与国外同类产品在性价比上饿有时,打破国外技术垄断和封锁,对发展我国电子产业有非常重大的意义,具有广泛的应用前景,加紧对这类产品的研制显得非常紧迫。 信号发生器是能够产生大量标准信号和用户定义信号,并保证它的高精度和高稳定性,可重复行和易操作性的电子仪器。函数信号发生器应该具有连续的相位变换和频率稳定性等优点,不仅可以模拟各种复杂信号还可以对频率、幅植、波形、相移进行动态的及时控制。 在70年代前,信号发生器主要有两类:正弦波和脉冲波,而函数信号发生器介于两者之间,能够提供正弦波、锯齿波、方波、脉冲波等波形,产生其他的波形还得采用复杂的电路和机电结合的方法,这个时期的信号发生器存在两个突出的问题,一是通过电位器等的调节来实现输出频率的调节;二是脉冲的占空比不可调节。 在70年代后,微处理器的出现,可以利用处理器、D/A转换器和A/D转换器,硬件和软件使信号发生器的功能扩大,产生更加复杂的波形,这个时期的信号发生器多以软件为主,实质上是采用微处理器对数/模转换器的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准由数字合成电路产生可变频率信号。自从80年代以来各国都在研制DDS产品,并应用于信号发生器的设计。后来出现的专用DDS芯片极大的推动了DDS技术的发展,但专用DDS芯片价格昂贵,而且无法实现我们所需要哦的各种波形输出。 90年代末出现了几种真正高性能、高接个的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。但是由于HP770S实际上也只能产生8种波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Aata-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可以达到5MHz,最大输出幅度也达到10Vpp。国内也有不少公司已经有类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数/任意波形信号发生器。 1.3 本文主要的研究内容 1.了解课题内容,查阅有关中英文文献,熟悉DDS技术,学习C语言及Keil开发软件。 2.对DDS理论进行研究和分析,选择一种适合于实现的 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。 3.DDS功能模块使用AD9834的实现。 第2章 多功能信号发生器 2.1 信号发生器 2.1.1 信号发生器概述 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 2.1.2 信号发生器的种类 用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。 可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函数信号发生器IC产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。 利用单片集成芯片的函数发生器:能产生多种波形,达到较高的频率,且易于调试。鉴于此,美国美信公司开发了新一代函数信号发生器ICMAX038,它克服了                      中芯片的缺点,可以达到更高的技术指标,是上述芯片望尘莫及的。MAX038频率高、精度好,因此它被称为高频精密函数信号发生器IC。在锁相环、压控振荡器、频率合成器、脉宽调制器等电路的设计上,MAX038都是优选的器件。 利用专用直接数字合成DDS芯片的函数发生器:能产生任意波形并达到很高的频率。但成本较高。 产生所需参数的电测试信号仪器。按其信号波形分为四大类:1.正弦信号发生器。主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按其不同性能和用途还可细分为低频(20赫至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。2.函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。3.脉冲信号发生器。能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。4.随机信号发生器。通常又分为噪声信号发生器和伪随机信号发生器两类。噪声信号发生器主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正弦或脉冲信号,以测定系统动态特性等。当用噪声信号进行相关函数测量时,若平均测量时间不够长,会出现统计性误差,可用伪随机信号来解决。 2.2 DDS概述 DDS是直接数字合成(Direct Digital Synthesis)的英文缩写。 2.2.1 DDS涵义 DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 另外,有些DDS芯片还具有调频和调相等调制功能及片内D/A变换器(如AD9834)。 2.2.2 DDS的特点 DDS设备现在能够在基于1GHz的时钟下产生低于1Hz到400MHz的波形,它低功耗,廉价,小封装,加上内部电路的优良性能和对输出波形数字可编程能力,使DDS设备比起由分立元件组成的低灵活性的方案来说是极其有吸引力的解决方案。例如AD9834通过可编程的高速串行外围接口(SPI),只需要一个稳定的外部时钟,就能产生稳定的正弦波。 1.设计通讯系统需要灵活的和极好的相噪,极低的失真性能的频率源,它通常选用DDS结合它的光谱性能和频率调谐方案,这种应用包括用DDS于调制方面,作为PLL参考去加强整个频率的可调 制度 关于办公室下班关闭电源制度矿山事故隐患举报和奖励制度制度下载人事管理制度doc盘点制度下载 ,作为本机振荡器(LO),或者射频的直接传送。作为选择,许多工业和医学应用DDS作为可编程波形发生器。因为DDS是数字可编程,它的相位和频率在不改变外围的情况下能很容易地改变,而传统的基于模拟编程产生波形的情况下要改变外围。 2.数字控制输出频率和轻微程度相位调制能力。 3.高速的调频调相;在没有上冲或者下冲的请况下,且没有延时的情况下可以进行连续频率调谐。(开环系统) 4.DDS的数字体系结构取消了像传统模拟合成方案那样的手动调谐和温度补偿方面的不方便。 5.DDS的数字控制结构外围使系统的远程控制更为方便,在处理器控制下达到最优化 2.2.3 DDS原理 DDS即直接数字频率合成技术,是一种运用数字技术来实现产生信号的方法。由于采用了全数字大规模集成技术,具有体积小、价格低、频率分辨率高、频率转换快、成范围宽、信号纯度高等优点。 DDS原理如图2.1所示。 Fout输出 n比特 m比特 图2.1 DDS原理图 图为DDS原理框图,它主要由相位累加器、波形存储器、D/A转换器和低通滤波器组成。在时钟脉冲的控制下,相位累加器对输入的频率控制字不断进行累加得到相应的相位码,同时,相位码序列作为地址信号去寻址波形存储器进行相位码-幅度码的转换, 并输出不同的幅度编码。这一系列不同的幅度编码经过D/A转换器转换得到相应的阶梯电压波,最后经过低通滤波器平滑,即可得到相应的正弦波形。输出波形的频率由下式计算:FOUT=(K* FCLK)/2N。频率分辨率定义为:F=FCLK/2N。其中,FCLK为输入参考频率,K为输入的频率控制字,N为相位累加器的位数。 本文采用的DDS芯片AD9834可用的最高时钟频率达75MHZ, 相位累加器的位数为32,由上式可计算出在75MHZ时钟频率输入下,频率分辨率为0.0582HZ。 2.2.4 DDS的发展状况 1971年,Tierhey等人撰写的《数字频率合成》一文中第一次提出了DDS的概念。AD (Analog Devices)公司所推出的《DDS手册》()中对DDS技术这样定义道:DDS是一种根据固定频率的精密时钟源、利用数字处理电路以产生频率和相位可调的输出信号的技术。 1993年,LawrenceJ.Kushner提出了一种新型复合式DDS结构。它由一个低速高分辨率的DDS和一个高速、低分辨率的相位累加器构成,其中低速部分提供了DDS的细调,高速部分提供粗调。复合式DDS具有高速、低功耗特点。 1993年,Nathalic,Caglio等人介绍了一种时钟频率为1.25GHz的连续波调频(FM-CW)GaAs-DDS,它由一个双相位累加器和一个单片数模正弦转换器构成。 1994年 ,LOKe,Kun,Tan等人介绍了利用正弦和余弦的对称性改进存储技术,使之在不增加ROM的容量下,实现200MHz正交输出DDS的方法。 Tierney等人提出了降低杂散的两种方法,即单象限正弦波形存储法和改进的查表算法。Sunderland在改进的查表算法基础上建立了一种非常有效的压缩存储查表法,称为Sunderland结构。 短短的二十多年中,随着理论的不断完善和集成工艺技术的发展,DDS已实现商品化。各大公司在此领域投入了大量的人力、物力,不断的推出DDS产品。Qual-Comm, AD公司推出了系列DDS产品,如Q233X系列、AD9955和AD985X系列等。美国Stanford公司生产了时钟频率达IGHz的GaAs-DDS器件STEL-2171。 Sciteq公司推出的ADS-43X系列,时钟频率高达1.6GHz,两路正交输出,带宽为400MHz,跳频时间小于30ns,杂散优于一45dBc,相位噪声L(IkHz) -I00dBc/Hz。极细微步长、极短的跳频时间是DDS特有的品质;相位截取及幅度量化引入的杂散是DDS固有的噪声。随着超高速Si, GaAs器件的发展,DDS输出带宽的限制正在逐渐被克服。杂散则由DDS自身特点所决定而不可避免,成为限制DDS应用的主要因素。 第3章 控制系统的设计 3.1 整体设计框图 系统结构框图如图3-1所示。 图3-1 系统结构框图 单片机首先接受按键的信息,分析后根据键盘的要求,通过SPI总线和DDS芯片通信,控制DDS信号发生器的频率,波形,把所输出的频率,波形显示到LCD上。 3.2 器件的选择 单片机选用C8051F410,该单片机中有丰富的模拟和数字资源,并采用了一些在单片机中前所未有的新技术,在CPU内核的内部和外部有几项关键性的改进。提高了整体性能,更易于在最终使用中使用。 C8051F410单片机使用Silabs的专利CIP-51核。CIP-5核采用流水线结构,机器周期由标准8051F的12个系统时钟同期降为1个系统时钟周期,处理能力大大提高。在采用相同振荡器频率的情况下,C8051F单片机的峰值执行速度的标准8051的12倍。大部分C8051F410单片机的峰值性能达到25MIPS,而8051F12X系列的峰值性能达到100MIPS。 CIP-51扩展了标准的中断系统,这个扩展的中断系统可以提供22个中断源(标准8051F只有7个中断源)允许大量的模拟和数字外设中断微控制器。一个中断驱动的系统需要较少的MCU干预,却有更高的执行频率。在设计一个多任务实时系统时,这些增加的中断源是非常有用的。 C8051F410单片机内部集成了大量的模拟和数字资源:由逐次逼近型ADC、多通道模拟输入选择器和可编程增益放大器组成的完整ADC子系统,数、模转换器(DAC),模拟比较器,标准8052单片机的数字资源,片内可编程计数器/定时器阵列(PCA),SPI总线和SMBus/I2C总线 主功能模块DDS信号发生器采用AD公司的芯片AD9834,其的主要性能特点;AD9834是ADI公司生产的一个将相位累加器、正弦只读存储器(SIN ROM)和一个10位D/A转换器集成在单片CMOS芯片上的DDS电路。该芯片仅需要1个基准时钟、1个低精度电阻和8个去耦电容,便可提供数控产生的正弦波,频率可以达到25 MHz。利用DSP(Digital Signal Processing,数字信号处理)还可以精确、简单地完成复杂的调制算法,实现范围较宽的简单或复杂的调制方案。 AD9834的电源电压为2.3~5.5 V,在3 V时仅消耗功率20 mw;具有低抖动的时钟输出和正弦波输出;时钟频率为50 MHz。 LCD采用NOKIA3310。 第4章 系统硬件电路设计 4.1 整体电路设计 系统整体电路以C8051F410为核心,通过对D9834芯片的控制产生需要输出的波形和频率 以NOKIA3310液晶模块为显示终端。 整体设计思路如下:AD9834芯片通过SPI总线连接到单片机上,单片机通过SPI总线和它通信从而实现对它的控制。把输出信号的频率,波形和步进的频率显示到LCD上,通过键盘输入可以修改输出的频率,波形,步进值。 4.2 C8051F410的最小系统设计 4.2.1 单片机系统概述 C8051F41x器件是完全集成的低功耗混合信号片上系统型MCU。下面列出了一些主要特性: 1.高速、流水线结构的8051兼容的微控制器核(可达50MIPS) 2.全速、非侵入式的在系统调试接口(片内) 3.真12位200 ksps的24通道ADC,带模拟多路器 4.两个12位电流输出DAC 5.高精度可编程的24.5MHz内部振荡器 6.达32KB的片内FLASH存储器 7.2048字节片内RAM 8.硬件实现的SMBus/ I2C、增强型UART和增强型SPI串行接口 9.4个通用的16位定时器 10.具有6个捕捉/比较模块和看门狗定时器功能的可编程计数器/定时器阵列(PCA) 11.硬件实时时钟(smaRTClock),工作电压可低至1V,带64字节电池后备RAM和后备稳压器 12.硬件CRC引擎 13.片内上电复位、VDD监视器和温度传感器 14.片内电压比较器 15.多达24个端口I/O 具有片内上电复位、VDD监视器、看门狗定时器和时钟振荡器的C8051F41x器件是真正能独立工作的片上系统。FLASH存储器还具有在系统重新编程能力,可用于非易失性数据存储,并允许现场更新8051固件。用户软件对所有外设具有完全的控制,可以关断任何一个或所有外设以节省功耗。片内Silicon Labs二线(C2)开发接口允许使用安装在最终应用系统上的产品MCU进行非侵入式(不占用片内资源)、全速、在系统调试。调试逻辑支持观察和修改存储器和寄存器,支持断点、单步、运行和停机命令。在使用C2进行调试时,所有的模拟和数字外设都可全功能运行。两个C2接口引脚可以与用户功能共享,使在系统调试功能不占用封装引脚。 每种器件都可在工业温度范围(-40℃到+85℃)内用2.0V ~ 2.75V的电压工作(使用片内稳压器时电源电压可达5.25V)。C8051F41x有28脚QFN(也称为MLP或MLF)和32脚LQFP两种封装。 端口输入/输出: 数字和模拟资源可以通过24个I/O引脚使用。端口引脚被组织为三个8位端口。每个端口引脚都可以被定义为通用I/O(GPIO)或模拟输入/输出。P0.0 ~ P2.7可以被分配给内部数字资源。设计者完全控制数字功能的引脚分配,只受物理I/O引脚数的限制。这种资源分配的灵活性是通过使用优先权交叉开关译码器实现的。注意,不论交叉开关的设置如何,端口I/O引脚的状态总是可以被读到相应的端口锁存器。 交叉开关根据优先权译码表的外设优先顺序为所选择的内部数字资源分配I/O引脚。寄存器XBR0和XBR1用于选择内部数字功能。 所有端口I/O都耐5V电压,工作在VIO的电压范围。P1和P2不应被驱动到高于VIO的电平,否则会吸收电流。端口I/O单元可以被配置为漏极开路或推挽方式(在端口输出方式寄存器PnMDOUT中设置,n = 0,1,2)。表18.1给出了端口I/O的电气特性。如图4-1所示。 图4-1 端口I/O单元框图 增强型串行外设接口: 增强型串行外设接口(SPI0)提供访问一个全双工同步串行总线的能力。SPI0可以作为主器件或从器件工作,可以使用3线或4线方式,并可在同一总线上支持多个主器件和从器件。从选择信号(NSS)可被配置为输入以选择工作在从方式的SPI0,或在多 主环境中禁止主方式操作,以避免两个以上主器件试图同时进行数据传输时发生SPI总线冲突。NSS可以被配置为片选输出(在主方式),或在3线操作时被禁止。在主方式,可以用其它通用端口I/O引脚选择多个从器件,SPI原理图如图4-2所示。 图4-2 SPI原理框图 使用SPI0配置寄存器(SPI0CFG)中的时钟控制选择位可以在串行时钟相位和极性的4种组合中选择其一。CKPHA位(SPI0CFG.5)选择两种时钟相位(锁存数据所用的边沿)中的一种。CKPOL位(SPI0CFG.4)在高电平有效和低电平有效的时钟之间选择。主器件和从器件必须被配置为使用相同的时钟相位和极性。注意:在改变时钟相位和极性期间应禁止SPI0(通过清除SPIEN位,SPI0CN.0)。时钟和数据线的时序关系示于。 SFR定义所示的SPI0时钟速率寄存器(SPI0CKR)控制主方式的串行时钟频率。当工作于从方式时该寄存器被忽略。当SPI被配置为主器件时,最大数据传输率(位/秒)是系统时钟频率的二分之一或12.5MHz(取较低的频率)。当SPI被配置为从器件时,全双工操作的最大数据传输率(位/秒)是系统时钟频率的十分之一,前提是主器件与从器件系统时钟同步发出SCK、NSS(在4线从方式)和串行输入数据。如果主器件发出的SCK、NSS及串行输入数据不同步,则最大数据传输率(位/秒)必须小于系统时钟频率的十分之一。在主器件只发送数据到从器件而不需要接收从器件发出的数据(即半双工操作)这一特殊情况下,SPI从器件接收数据时的最大数据传输率(位/秒)是系统时钟频率的四分之一,这是在假设由主器件发出SCK、NSS和串行输入数据与从器件系统时钟同步的情况下。时序如图4-3所示。 图4-3 数据/时钟时序图 4.2.2 复位电路设计 复位是单片机的初始化操作,只需给C8051F410低电平信号,电路如图4-4所示。 图4-4 复位电路 4.2.3 最小系统的硬件接口电路设计 单片机最小系统,或者称为最小应用系统,是指用最少的元件组成的单片机可以工作的系统.对C8051系列单片机来说,最小系统一般应该包括:单片机、晶振电路、复位电路.。在智能化仪器仪表中,控制核心均为微处理器,而单片机以高性能、高速度、体积小、价格低廉、稳定可靠而得到广泛应用,是设计智能化仪器仪表的首选微控制器。单片机结合简单的晶体振荡电路和复位电路即可构成单片机最小系统,它是智能化仪器仪表的基础,也是测控、监控的重要组成部最小系统电路如图4-5所示。 图4-5 C8051F410的最小系统硬件电路 4.3 电源设计 本设计采用双+5V电压供电,一路供单片机,一路供AD9834。 LM7805稳压芯片,7805 系列为 3 端正稳压电路,TO-220 封装,能提供多 种固定的输出电压,应用范围广。内含过流、过热和过载保 护电路。带散热片时,输出电流可达 1A。虽然是固定稳压电 路,但使用外接元件,可获得不同的电压和电流。 主要特点:输出电流可达 1A,输出电压有5V,过热保护,短路保护,输出晶体管 SOA 保护。 功能框图如图4-6,它的电路图如图4-7所示。 图4-6 LM7805功能框图 图4-7 LM17805连接电路 4.4 LCD液晶显示模块的设计 LCD液晶显示器,具有显示信息丰富、低功耗、体积小、重量轻、超薄等许多其他显示器无法比拟的优点,近几年来被广泛用于单片机控制的智能仪器。仪表和低功耗的电子产品中。LCD可分为段位式LCD、字符式LCD和点阵式LCD。其中,段位式LCD和字符式LCD只能用于字符和数字的简单显示,不能满足图形曲线和汉字显示的要求;而点阵式LCD不仅可以显示字符、数字,还可以显示各种图形、曲线及汉字,并且可以实现屏幕上下左右滚动、动画、分区开窗口、反转、闪烁等功能,用途十分广泛。下面介绍一下3310的性能特点。 4.4.1 液晶3310操作说明 3310是48*84的点矩阵液晶显示模块,可显示汉字它是单芯片LCD控制驱动,48行,84列输出,芯片集成了LCD电压发生器(也可以使用外部电压供应)、LCD偏置电压发生器、振荡器不需要外接元件(也可以使用外部时钟),外部的复位输入引脚,串行界面最高4.0Mbits/S,CMOS兼容输入,混合速率为48,逻辑电压范围VDD到VSS为2.7V~3.3V,低功耗,适用于电池供电系统,使用温度范围为-25~70℃。3310有7引脚,单列排于LCD板的上侧。各引脚的功能如表4-1所示。 表4-1 RT12864M引脚功能 引脚号 引脚名称 方向 功能说明 1 RESET H/L 模块的复位引脚 2 D/C H/L 并行的指令/数据选择信号;串行的片选信号 3 SDIN H/L 串行数据信号输入端 4 SCLK H/L 串行时钟信号输入端 5 LED — 空 6 VCC — 模块的电源正端 7 GND — 模块的电源地         4.4.2 液晶3310与控制器的接口电路 主要介绍的是点阵式液晶显示器3310与C8051F410的接口技术,点阵式特护液晶显示器3310与C8051F410的接口电路如图4-8所示,时序图如4-9所示。 C8051F410采用的是串行的方式连接NOKIA3310液晶控制器,即P2.3连接ESEL,P2.4链接D/C,P2.5链接SCLK,P2.6连接SCLK,VCC连电源,GND连接地。 图4-8 3310接口电路 图4-9 3310时序图 4.5 键盘模块的设计 4.5.1 键盘概述 单片机应用系统中,实现输入数据、传送命令的功能,是人工干预的主要手段。键盘分两大类:编码键盘和非编码键盘。 编码键盘:由硬件逻辑电路完成必要的键识别工作与可靠性措施。每按一次键,键盘自动提供被按键的读数,同时产生一选通脉冲通知微处理器,一般还具有反弹跳和同时按键保护功能。这种键盘易于使用,但硬件比较复杂,对于主机任务繁重之情况,采用8279可编程键盘管理接口芯片构成编码式键盘系统是很实用的方案。 非编码键盘:只简单地提供键盘的行列与矩阵,其他操作如键的识别,决定按键的读数等仅靠软件完成,故硬件较为简单,但占用CPU较多时间。有:独立式按键结构、矩阵式按键结构。 键盘系统设计: 首先,确定键盘编码方案:采用编码键盘或非编码键盘。随后,确定键盘工作方式:采用中断或查询方式输入键操作信息。然后,设计硬件电路。非编码键盘系统中,键闭合和键释放的信息的获取,键抖动的消除,键值查找及一些保护措施的实施等任务,均由软件来完成。 1.非编码键盘的键输入程序应完成的基本要求:监测有无键按下;键的闭合与否,反映在电压上就是呈现出高电平或低电平,所以通过电平的高低状态的检测,便可确认按键按下与否。判断是哪个键按下,完成键处理任务。 2.从电路或软件的角度应解决的问题: 消除抖动影响,键盘按键所用开关为机械弹性开关,利用了机械触点的合、断作用。由于机械触点的的弹性作用,一个按键开关在闭合和断开的瞬间均有一连串的抖动。抖动时间的长短由按键的机械特性决定,一般为5~10ms,这是一个很重要的参数。抖动过程引起电平信号的波动,有可能令CPU误解为多次按键操作,从而引起误处理。 为了确保CPU对一次按键动作只确认一次按键,必须消除抖动的影响。按键的消抖,通常有软件,硬件两种消除方法:消抖硬件:这种方法只适用于键的数目较少的情况。 软件消抖:如果按键较多,硬件消抖将无法胜任,常采用软件消抖。 通常采用软件延时的方法:在第一次检测到有键按下时,执行一段延时10ms的子程序后,再确认电平是否仍保持闭合状态电平,如果保持闭合状态电平,则确认真正有键按下,进行相应处理工作,消除了抖动的影响。采取串键保护措施。串键:是指同时有一个以上的键按下,串键会引起CPU错误响应。通常采取的策略:单键按下有效,多键同时按下无效。处理连击。连击:是一次按键产生多次击键的效果。要有对按键释放的处理,为了消除连击,使得一次按键只产生一次键功能的执行(不管一次按键持续的时间多长,仅采样一个数据)。否则的话,键功能程序的执行次数将是不可预知,由按键时间决定。连击是可以利用的。连击对于用计数法设计的多功能键特别有效。 4.5.2 键盘工作方式 单片及应用系统中,键盘扫描只是CPU的工作内容之一。CPU忙于各项任务时,如何兼顾键盘的输入,取决于键盘的工作方式。考虑仪表系统中CPU任务的份量,来确定键盘的工作方式。 键盘的工作方式选取的原则是:既要保证能及时响应按键的操作,又不过多的占用CPU的工作时间。 键盘的工作方式有:查询方式(编程扫描,定时扫描方式)、中断扫描方式。 4.5.3 键盘电路结构 1.独立式按键接口设计 独立式按键就是各按键相互独立,每个按键单独占用一根I/O口线,每根I/O口线的按键工作状态不会影响其他I/O口线上的工作状态。因此,通过检测输入线的电平状态可以很容易判断哪个按键被按下了。优点:电路配置灵活,软件结构简单。缺点:每个按键需占用一根I/O口线,在按键数量较多时,I/O口浪费大,电路结构显得复杂。 因此,此键盘是用于按键较少或操作速度较高的场合。也可以用扩展I/O口搭接独立式按键接口电路,可采用8255扩展I/O口,用三态缓冲器扩展。这两种配接方式,都是把按键当作外部RAM某一工作单元的位来对待,通过读片外RAM 的方法,识别按键的工作状态。 上电路中独立式按键电路,各按键开关均采用了上拉电阻,是为了保证在按键断开时,各I/O有确定的高电平。如输入口线内部已有上拉电阻,则外电路的上拉电阻可省去。 2.矩阵式键盘接口设计矩阵式键盘适用于按键数量较多的场合,由行线和列线组成,按键位于行列的交叉点上。节省I/O口。 矩阵键盘工作原理:行线通过上拉电阻接到+5V上。无按键,行线处于高电平状态,有键按下,行线电平状态将由与此行线相连的列线电平决定。列线电平为低,则行线电平为低;列线电平为高,则行线电平为高。 4.5.3 双功能及多功能键 在单片机应用系统中,为简化硬件线路,缩小整个系统的规模,总希望设置最少的按键,获得最多的控制功能。 矩阵键盘与独立式按键键盘相比,硬件电路大大节省。可通过软件的方法让一键具有多功能。方法:选择一个RAM工作单元,对某一个按键进行按键计数,根据不同计数值,转到子程序。这种计数多功能键最好与显示器结合用,以便知道当前计数值,同时配合一个启动键。 复合键是使用软件实现一键多功能的另一个途径。所谓复合键,就是两个或两个以上的键的联合,当这些键同时按下时,才能执行相应的功能程序。实际情况做不到“同时按下”,他们的时间差别可以长到50ms,解决策略是:定义一个或两个引导键,这些引导键按下时没什么意义,执行空操作。引导键的例子:微机键盘上的CTRL、SHIFT、ALT。 缺点:一是操作变得复杂,二是操作时间变长。 多功能键的利用,应具体情况具体分析。要求速度的场合最好做一键一功能。如果系统功能很多,一键一功能不现实,可采取一键多功能。 4.5.4 功能开关及拨码盘接口 设计原因:键盘输入灵活性大,操纵方便。但某些重要功能或数据由键盘输入,误操作将产生一些不良后果。因此常设定静态开关的方法来执行这些功能或输入数据。静态开关一经设定,将不再改变,一直维持设定的开关状态。通常这些开关状态是在单片机系统加电时由CPU读入内存RAM的,以后CPU将不再关注这些开关的状态,因此,即使加电后,这些开关的状态发生变化,也不会影响CPU的正常工作,只有在下一次加电时,这些新状态才能生效。 功能开关:主要是根据开关的状态执行一些重要的功能。 拨码盘:单片机应用系统中,有时要输入一些控制参数,这些参数一经设定,将维持不变,除非给系统断电后重新设定。这时使用数字拨码盘既简单直观,又方便可靠。 4.5.5 按键介绍 常用的按键有三种:机械触点式按键、导电橡胶式和柔性按键(又称触摸式键盘)。 机械触点式按键是利用弹性使键复位,手感明显,连线清晰,工艺简单,适合单件制造。但是触点处易侵入灰尘而导致接触不良,体积相对较大。 导电橡胶按键是利用橡胶的弹性来复位,通过压制的方法把面板上所有的按键制成一块,体积小,装配方便,适合批量生产。但是时间长了,橡胶老化而使弹力下降,同时易侵入灰尘。 柔性按键是近年来迅速发展的一种新型按键,可以分为凸球型和平面型两种。凸球型动作幅度触感明显,富有立体感,但制造工艺相对复杂;平面型幅度微小,触感较弱,但工艺简单,寿命长。柔性按键最大特点是防尘、防潮、耐蚀,外形美观,装嵌方便。而且外形和面板的布局、色彩、键距可按照整机的要求来设计。 本设计中4*4键盘结构键盘采用查询方式,P0口采用漏极开路输出,键盘结构如图4-10所示。 图4-10 4*4按键 P0.4-P0.7输出高电平,P0.0-P0.3输出低电平,读入P0.0-P0.3的数据,如果某一位为0,说明有一个键按下,依次在P0.0-P0.3输出低电平,判断是哪一行,来确定是哪一个按键按下,确定按键之后来决定相应的功能。 4.6 DDS 模块的设计 DDS 模块是整个系统设计的核心,包括接口电路和DDS芯片外围电路。DDS接收单片机的控制命令,产生所需信号,DDS芯片采用AD公司的AD9834. AD9834数字频率合成器是一款高度集成的DDS芯片,它采用先进的DDS技术,结合内部集成的高速高性能的DAC,可以实现灵活的频率合成功能。 4.6.1 AD9834模块的特点 在AD9834是一个75 MHz的低功耗DDS器件生产高性能正弦波和三角产出能力。它还具有一个板上比较器,允许将方波时钟发生器产生的。消耗在3伏功率只有20毫瓦使得AD9834为功耗敏感应用的理想人选。 相位调制和频率调制功能提供。寄存器的频率是28位;与75 MHz的时钟速率,0.28 Hz分辨率能够达到。同样,1 MHz的时钟速率,AD9834可以进行调节,以0.004 Hz分辨率。频率和相位调制的影响载入中通过串行接口寄存器和寄存器切换使用软件或FSELECT引脚和PSELECT针分别。在AD9834写入使用3线串行接口控制。这个串行接口工作在时钟速率高达40 MHz的DSP和微控制器是兼容的标准。 该设备的工作,有2.3至5.5 V电源V的模拟和数字部分是独立的,可以从不同的电源供应器上运行,例如,用的DVDD的AVDD可以等于等于3 5 V该AD9834具有掉电引脚(休眠),允许在省电模式下的外部控制。对那些没有被使用的设备部分可以断电以减少电流消耗。例如,DAC的可断电时,时钟输出正在生成。该器件提供20引脚TSSOP封装,如图4-11所示。 图4-11 AD9834功能框图 如图4-11中REFOUT为参考电压输出端,DVDD数字电压输入端,范围为2.3-5.5V , CAP/2.5 由DVDD转换产生的2.5V 电压,AGND和DGND分别为模拟地和数字地,AVDD为模拟电压输入端,作为D/A转换的参考电压,COMP为内D/A的偏置电压,50MHz时钟频率由芯片8脚输入;FSELECT和FSELECT分别为芯片的相位选择控制端和频率选择控制输入端,SLEEP为芯片的低功耗控制端,RESET控制芯片的复位;SDATA为AD9834串行控制字输入端,SCLK作为控制传送的时钟信号;VIN为内部比较器的输入信号,该端口为DDS的输出信号,也可以外接比较信号,在SIGN BIT端输出方波信号,由于内部的比较器采用普通比较器,很容易受到干扰,出现低频端不稳定现象:IOUT和IOUTB输出两路正交的正弦交流信号或三角波电流,该信号通过低通滤波器后得到含有直流的正弦波或三角波信号。 本文研制的信号源为0-2MHz,属于DDS的低频段应用,通过分析比较DDS芯片资料,综合考虑后,选择性价比较高的AD9834芯片。该芯片有内置比较器,可以输出所需要的三种波形。AD9834芯片输出的最高频率可以达到20MHz,在1kHz处的信噪比可以达到60dB。 4.6.2 功能描述 1.串行接口: 数据加载到该设备作为下一个串行时钟输入(SCLK)控制的16位字。 该FSYNC输入是电平触发输入,作为帧同步和芯片的行为使。数据只能被转移到该设备时FSYNC低。要启动串行数据传输,FSYNC应采取低,遵守最低FSYNC到SCLK下降沿的建立时间(T7)的。经过FSYNC变低, 串行数据转移有关的SCLK的下降沿为16个时钟脉冲到该设备的输入移位寄存器。 FSYNC后可采取高16 SCLK的下降沿边缘,观察到最低SCLK的下降沿FSYNC上升沿时间。另外,FSYNC可以保持低了16多个的SCLK脉冲,然后在带来高电平。 在数据传输结束。这样,一个16位字的连续流可以被加载,而FSYNC举行低,FSYNC,只在16日下跌后,在SCLK的最后一句话边缘高,加载。 在SCLK可以是连续的,或者,在SCLK可以高或低之间的空闲写操作,但必须高变低时FSYNC(t12)。 2.AD9834的上电: 当AD9834通电后,部分应该被重置。这将重置为0,适当的内部寄存器提供一个模拟输出的中点。为了避免在AD9834 DAC输出杂散初始化,复位位/引脚应设置为1,直至部分是准备开始产生一个输出。 复位不会重置的相位,频率或控制寄存器。这些寄存器包含无效数据,因此应设置由用户到一个已知的值。复位位/针然后应设置为0,开始产生一个输出。数据显示在DAC输出8 MCLK的周期复位后设置为0。 3.等待时间: 等待时间是与每个操作。当引脚FSELECT和引脚PSELECT变化值,面前的是一个有控制流水线延迟转移到选定的登记。当T11和T11A时序规格要求,FSELECT和PSELECT有8 MCLK的周期延迟。当T11和t11A时序规格不符合要求, 同样,与每个异步写操作关联的延迟。如果选定的频率/相位寄存器加载了一个新词,有一个前8个模拟输出延迟至9 MCLK的周期变化。有一个1 MCLK的周期,因为这对MCLK的上升沿位置取决于当数据加载到目标寄存器的不确定性。 该复位和休眠功能产生负面过渡,采样内部下降沿MCLK的边缘。因此,他们也有与他们相关联的延迟。 4.控制寄存器: 在AD9834包含一个16位控制寄存器,设置为用户AD9834要操作。所有控制位除外方式下,进行采样的内部MCLK的下降沿。表6描述了控制寄存器的各个位。 不同的职能和从AD9834多种输出选项将在更多的频率和相位寄存器部分细节。 通知AD9834的控制寄存器的内容被改变,DB15和DB14必须设置为0 4.6.3 电路描述 在AD9834是一个完全集成的直接数字频率合成器(DDS)芯片。该芯片需要一个参考时钟,一个低精度的电阻,电容和去耦八个创建提供数字正弦波高达37.5兆赫。除了这一代射频信号,该芯片是完全的简单和复杂的调制方案,范围广泛的能力。 这些 计划 项目进度计划表范例计划下载计划下载计划下载课程教学计划下载 得到充分实施调制在数字域,允许准确,简单实现复杂调制技术,利用DSP算法。 AD9834的内部电路包括以下主要部分:一个数值控制震荡器(NCO),数字至模拟转换器,比较器和一个调节器。 1.比较器:比较能接受大约100 mV的范围内页页1 V的信号。由于比较器输入为交流耦合,以作为一个零交叉检测器的正确,它需要一个典型的3 MHz的输入频率最低。比较器的输出是一个具有从0 V方波振幅的DVDD。为了使比较器,比特SIGNPIB和控制电阻位OPBITEN被设置为1。 2.调节器:在AD9834已为模拟和数字部分单独的电源。提供的AVDD电源模拟部分的要求,和DVDD提供的数字部分电源。这些用品都可以有一个2.3 V到5.5 V值,而且是互相独立的。例如,模拟部分可以操作在5 V,和数字部分可以操作在3伏,反之亦然。AD9834内部的数字部分是操作在2.5五,在一个下跌的DVDD适用于2.5的电压板上调节步骤五,数字接口(串口对AD9834)也从的DVDD运作。这些级别在AD9834转向数字信号,使他们2.5 V兼容。当在AD9834的DVDD引脚施加电压等于或大于2.7伏,针脚CAP/2.5V和引脚的DVDD不应该结合在一起,从而绕过板上稳压器。 3.数模转换器:该AD9834包括一个高阻抗电流源的10位DAC驱动的负载范围广泛的能力。满量程输出电流可实现最佳电源和外部负载要求调整,利用单个外部电阻(的RSET)。该DAC可配置为单端或差分操作。输出电流和IOUTB可以通过平等的外部电阻连接至AGND发展互补性输出电压。负载电阻值可以是任何需要,只要在它发展的全面长期电压不超过规定的电压范围。由于全面电流控制的RSET,到的RSET调整可以平衡向负载电阻变化。 4.6.4 AD9834引脚描述 AD9834引脚如图4-12,AD9834引脚描述如表4-1所示。 表4-1 AD9834引脚描述 引脚 名字 描述 1脚 FS ADJUST 控制电流大小:IOUT=18*VREFOUT/RSET 2脚 RESFOUT 参考电压输出,内有AD9834的参考电压为1.2v 3脚 COMP D/A转换器的偏置电压 4脚 AVDD 模拟电路供电部分,可以输出2.3-5.5v的电压 5脚 DVDD 数字电路供电部分,可以输出2.3-5.5v的电压 6脚 CAP/2.5v 数字电路的2.5v供电 7脚 DGND 数字地 8脚 MCLK 数字时钟的输入 9脚 FSELECT 频率选择输入 10脚 PSELECT 相位选择输入 11脚 RESET 重置复位,高电平有效输入,重置不影响任何可寻址寄存器 12脚 SLEEP 高电平输入有效。当这个引脚高、DAC断电。这个引脚具有相同的功能为控制位SLEEP12。 13脚 SDATA 串行数据输入,16位串行数据字应用于该输入 14脚 SCLK 串行时钟的输入 15脚 FSYNC 低电平控制输入 16脚 SIGN BIT OUT 逻辑输出 17脚 VIN 输入对照,对照可以用来生成方波从正弦DAC输出 18脚 AGND 模拟地 19脚 IOUT 电流输出 20脚 IOUTB 电流输出       图4-12 AD9834引脚 DDS外围电路如图4-13所示。 单片机的P1.0,P1.1,P1.2配置成SPI总线模式,和AD9834的SCLK,SDATA相连用P1.4控制数据的传输的开始和结束。 RESET,PELECT,FSELECT接地,寄存器选择使用软件模式控制。 FS AD JUST 接一个6.8千欧的电阻。 图4-13 DDS外围电路 第5章 系统软件设计 5.1 系统软件功能 多功能信号发生器的软件设计可以分为主控制程序,液晶显示程序、键盘扫描程序。根据所要求的输出信号波形通过键盘可以进行设定,并可以通过键盘设定步进值。 主控制程序的作用是根据所要实现输出的波形和频率,根据键盘的设定值去控制DDS模块改变输出地频率和波形。键盘扫描程序完成对按键的分析判断。显示程序把所要求的波形和频率显示到液晶上,如图5-1所示。 图5-1 系统软件设计主流程图 5.2 DDS模块子程序流程图 子程序模块如图5-2所示。 图5-2 DDS设计流程图 要产生所需要的频率和波形需要对DDS的主要寄存器进行控制其内容如下: 1.频率和相位寄存器:AD9834包含两个频率寄存器的和两个相位寄存器。FREQ0和FREQ1为频率寄存器,PHASE0 和PHASE1为相位寄存器 。当FSEL位或者是FSELEC=0,选择频率寄存器0,这个寄存器定义了输出的频率。当FSEL位或者FSELE=1选择频率寄存器1,同时也定义了寄存器的输出频率。 当PSEL位或者PSELECT=0,选择相位寄存器0,这个寄存器定义了输出相位。 当PSEL或者PSLECT=1,选择寄存器1,同时也定义了输出的相位。 对频率和相位寄存器的选取受引脚的控制,若控制位PIN/SW = 1,引脚控制这个功能否则PIN/SW = 0,数据位控制这个共能。具体说明如表5.1和表5.2所示,如果使用这两个位对应的引脚接到高电平或者低电平。 表5-1 频率寄存器位表 PSELEC PSEL PIN/SW Selwcted Register 0 x 1 PHASE0 REG 1 x 1 PHASE1 REG x 0 0 PHASE0 REG x 1 0 PHASE1 REG         表5-2 相位寄存器位表 FSELEC FSEL PIN/SW Selwcted Register 0 x 1 FHASE0 REG 1 x 1 FHASE1 REG x 0 0 FHASE0 REG x 1 0 FHASE1 REG         2.频率寄存器的写入: 如果用户想改变这一频率寄存内容,写同一个地址必须连续两次,因为频率寄存器是28位的第一次写14个地位第二次写14个高位对这种模式来说控制为B28应该设为1,频率寄存器的位表5-3所示。 表5-3 频率寄存器位表 BD15 DB14 DB13…DB0 0 1 14FREQ0 REGBITS 1 0 14FREQ1 REGBITS       在一些应用程序中,用户可能不想改变频率寄存器的28位,那么只改变高14位进行粗调,通过设置控制位B28为0,这个28位的频率寄存器可以作为两个独立的寄存器,一个包含高14位,一个包含低14位,这意味着14位频率寄存器的高14位,低14位可以单独设置,控制寄存器的HLB位标明是写低14位或者高14位。 3.复位功能:RESET功能,复位内部相应的寄存器位0,RESE不复位,频率和相位寄存器,当AD9834上电时,其应该被复位,若要复位AD9834,应该设置RESET引脚位1。如果使其脱离复位状态设置这个引脚或者是位为0.,在RESET在被指0,7个时钟之后D/A转换器输出相应的信号,RESET功能被RESET引脚或者控制为控制如果控制为PIN/SW=0,RESET控制复位功能,如果这个位为1,RESET控制复位功能。如表5-4所示。 表5-4 复位引脚功能 RESET Pin RESET Bit PIN/SW Bit Result 0 x 1 No reset applied 1 x 1 Internal register reset x 0 0 No reset applied x 1 0 Internal register reset         AD9834命令控制函数如下: void ad9834write_word( unsigned int aa)  // aa对应输入的命令或者数据 {  int i; unsigned j=0x8000; P05=1; P06=1; delay_1ms(); P06=0; delay_1ms(); for ( i=0; i<16;i++)       //循环16次,每次发送一位数据给AD9834 {  if ((aa&j)==0)  { P04=0; } else  { P04=1; } delay_nms(10); P05=0; delay_nms(10); P05=1; j>>=1; P06=1; return; }                        //初始化AD9834的函数如下: void ad9834init(void) {   ad9834write_word(0x2100) ;    //写控制寄存器 ad9834write_word(0x4000);    //写频率寄存器低位 ad9834write_word(0x4400);    //写频率寄存器高位 ad9834write_word(0x2002);    //使AD9834脱离复位状态 return; }  结    论 单片机控制多功能信号发生器可以用于“单片机技术”的教学实践,也可以用于实验信号的产生。该仪器的研制既解决了实验教学的需求,也节约了实验设备投资,降低了实验成本。 下面是本文主要研究的问题和结论: 本设计研究和制作需要对DDS信号的发生原理要理解掌握,以及DDS信号发生器的硬件实现过程。在本设计中,关键研究了单片机最小系统模块,键盘模块,DDS模块,液晶显示模块,并对每个模块进行了具体的实现,并编制了每个模块的子程序和总体框架程序,最后进行了整体连调,实现了预期功能。 本设计中,在对C8051F410和AD9834的工作原理理解基础上,以AD9834为核心设计了一款多功能信号源。利用C8051F410和AD9834制作出来的函数发生器具有线路简单,调试方便,功能完备。可输出正弦波、方波、三角波,输出波形稳定清晰,信号质量好,精度高。系统输出频率范围较宽且经济实用。 参考文献 [1] 李杏春主编.单片机原理及使用接口技术[M].北京:北京航空航天大学出版社,1996 [2] 李华主编.MCS-51系列单片机实用接口技术[M].北京:北京航空航天出版社,1993 [3] 于枫,王鼎编著.微型计算机原理及应用[M].吉林:吉林科学技术出版社,1996 [5] 何利民编著.单片机应用系统设计[M].北京:北京航空航天大学出版社,1995 [6] 吕能元,孙育才,杨峰编著.MCS-51单片微型计算机[M].北京:科学出版社,1993 [7] 赵宏伟,朱洪文,臧雪柏编著.计算机接口技术[M].吉林:吉林大学出版社,1998 [8] 侯伯文编著.单片微型计算机原理及应用[M].北京:机械工业出版社,1987 [9] 周明德编著.微型计算机原理及应用[M].北京:清华大学出版社,1998 [10] 芯片速查手册[M].中国自动化技术公司出版,1995 [11] 张洪润,易涛编著.单片机应用技术教程(第二版)[M].北京:清华大学出版社,2003. 172~179 [12] 求是科技编著.单片机典型模块设计实例导航[M].北京:人民邮电出版社,2004  [13] 孙进生编著.电子产品设计实例教程[M].北京:冶金工业出版社,2004 [14] 段九州编著.放大电路实用设计手册[M].沈阳:辽宁科学技术出版社,2002 [15] 潘新民,王燕芳编著.微型计算机控制技术[M].北京:电子工业出版社,2004 [16] 全国大学生电子设计竞赛组委会编.第五届全国大学生电子设计竞赛获奖作品选编[M].北 京:北京理工大学出版社,2003 [17] 杨宁编著.单片机与控制技术[M].北京:北京航空航天大学出版社,2005 [18] Orko H, Bernier C. L. Indexing concepts and methods[M]. New York:Academic, 1978.167-168. [19]  Gedye R,Smith F,Westaway K,etal.Use of Microwave Ovens for Rapid Orbanic Synthesis.Tetrahedron Lett[M].1986,27:279 . [20] Gedye R,Smith F,Westaway K,etal.Use of Microwave Ovens for Rapid Orbanic Synthesis.Tetrahedron Lett[M].1986,27:279 . 致    谢 四年的大学生活即将结束,回想这段美好的学习时光,不禁感慨颇多。本次课题从定方向到研究设计、最后撰写,每一步的工作都好似在的悉心制导下完成的。虽然老师的工作很忙,但他依然抽出时间来细心的指导我们的工作。课题研究无论从硬件的选择、到软件的编程,无不浸透着老师的心血。在老师的细心知道下课题终于顺利的完成了,在这期间使我不仅学到了通信工程领域的许多知识,而且也明白了作为一名电子技术领域的研究人员所应具备的基本素质——认真的工作态度和一丝不苟的求实精神。在这方面我收益匪浅。 由于本课题需要大量的资料,刘老师帮我找了大量的宝贵资料,这使我在这个领域内不至于太过盲目。老师的治学严谨一丝不苟的敬业精神使我深感钦佩,也给我很大的激励,是我学习的榜样。由于个人的水平有限,以致课题还有不尽人意的地方,论文的完成不表示课题的结束,指示一个阶段的完成,以后还需要加倍的努力。 本课题进行中,我的同学给了我很大的支持和鼓励,在此深表谢意。最后在次真诚感谢所有给予我无私帮助的老师和同学们。
本文档为【基于AD9834信号发生器的设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_721103
暂无简介~
格式:doc
大小:126KB
软件:Word
页数:43
分类:互联网
上传时间:2019-02-02
浏览量:44