首页 实验3:用8个拨键开关控制8个LED灯实验

实验3:用8个拨键开关控制8个LED灯实验

举报
开通vip

实验3:用8个拨键开关控制8个LED灯实验信盈达实训学院-单片机教程系列 http://www.edu118.cn 实验3 8路指示灯读出8路拨动开关的状态   1.0连接说明 1.1用跳线帽将SP0排针连接; 1.2依据ISP在线编程步骤将程序写到芯片中; 1.3打开电源程序即可运行。 1.4注意该实验结束后可将SP0上的跳线帽去掉,以减少开发板的功耗。 1.5 8路拨动开关一端接地,另外一端8路通过SW102引出。 2.0相关原理图: Led灯模块原理图 拨键开关模块原理图...

实验3:用8个拨键开关控制8个LED灯实验
信盈达实训学院-单片机教程系列 http://www.edu118.cn 实验3 8路指示灯读出8路拨动开关的状态   1.0连接说明 1.1用跳线帽将SP0排针连接; 1.2依据ISP在线编程步骤将程序写到芯片中; 1.3打开电源程序即可运行。 1.4注意该实验结束后可将SP0上的跳线帽去掉,以减少开发板的功耗。 1.5 8路拨动开关一端接地,另外一端8路通过SW102引出。 2.0相关原理图: Led灯模块原理图 拨键开关模块原理图 3.0实验说明: 实验目的: 通过设置不同的6路拨动开关的状态(因拨键开关7、8开关用于控制ISP编程下载,故不能控制指示灯),使对应的6路指示灯亮灭。从而理解单片机中数和位的概念以及数据传递的概念。 运行结果: 对应的开关打开, 则对应的灯亮。 深圳信盈达电子有限公司 专业提供单片机、嵌入式、ARM、LINUX、Android、FPGA、PCB等技术培训、解决 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载
本文档为【实验3:用8个拨键开关控制8个LED灯实验】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_305297
暂无简介~
格式:doc
大小:27KB
软件:Word
页数:2
分类:互联网
上传时间:2018-09-10
浏览量:202