首页 第8章程序部分8.21 自动售货机VHDL程序与仿真

第8章程序部分8.21 自动售货机VHDL程序与仿真

举报
开通vip

第8章程序部分8.21 自动售货机VHDL程序与仿真 8.21 自动售货机VHDL程序与仿真 (1)自动售货机VHDL程序如下: --文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2004.3.23。 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL...

第8章程序部分8.21 自动售货机VHDL程序与仿真
8.21 自动售货机VHDL程序与仿真 (1)自动售货机VHDL程序如下: --文件名:pl_auto1.vhd。 --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2004.3.23。 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: in std_logic; --5角硬币、1元硬币 price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据 item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号 y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据 act10,act5 :out std_logic); --1元硬币、5角硬币 end PL_auto1; architecture behav of PL_auto1 is type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM signal item: std_logic_vector(1 downto 0); --商品种类 signal coin: std_logic_vector(3 downto 0); --币数计数器 signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin com:process(set,clk1) variable quan:std_logic_vector(3 downto 0); begin if set='1' then ram(conv_integer(item))<=price & quantity;act<="0000"; --把商品的单价、数量置入到RAM elsif clk1'event and clk1='1' then act5<='0'; act10<='0'; if coin0='1' then if coin<"1001"then coin<=coin+1; --投入5角硬币,coin自加1 else coin<="0000"; end if; elsif coin1='1' then if coin<"1001"then coin<=coin+2; --投入1元硬币,coin自加2 else coin<="0000"; end if; elsif sel='1' then item<=item+1; --对商品进行循环选择 elsif get='1' then --对商品进行购买 if qua>"0000" and coin>=pri then coin<=coin-pri;quan:=quan-1; ram(conv_integer(item))<=pri & quan; if item="00" then act<="1000"; --购买时,自动售货机对4种商品的操作 elsif item="01" then act<="0100"; elsif item="10" then act<="0010"; elsif item="11" then act<="0001"; end if; end if; elsif finish='1' then --结束交易,退币(找币) if coin>"0001" then act10<='1';coin<=coin-2; --此IF语句完成找币操作 elsif coin>"0000" then act5<='1'; coin<=coin-1; else act5<='0'; act10<='0'; end if; elsif get='0' then act<="0000"; for i in 4 to 7 loop pri(i-4)<=ram (conv_integer(item))(i); --商品单价的读取 end loop; for i in 0 to 3 loop quan(i):=ram(conv_integer(item))(i); --商品数量的读取 end loop; end if; end if; qua<=quan; end process com; m32:process(clk) --此进程完成对32Mhz的脉冲分频 variable q: std_logic_vector( 24 downto 0); begin if clk'event and clk='1' then q:=q+1; end if; if q="111111111111111111111111" then clk1<='1'; else clk1<='0'; end if; end process m32; code0:process(item) --商品指示灯译码 begin case item is when "00"=>item0<="0111"; when "01"=>item0<="1011"; when "10"=>item0<="1101"; when others=>item0<="1110"; end case; end process; code1: process (coin) --钱数的BCD到七段码的译码 begin case coin is when "0000"=>y0<="0000001"; when "0001"=>y0<="1001111"; when "0010"=>y0<="0010010"; when "0011"=>y0<="0000110"; when "0100"=>y0<="1001100"; when "0101"=>y0<="0100100"; when "0110"=>y0<="0100000"; when "0111"=>y0<="0001111"; when "1000"=>y0<="0000000"; when "1001"=>y0<="0000100"; when others=>y0<="1111111"; end case; end process; code2: process (qua) --单价的BCD到七段码的译码 begin case qua is when "0000"=>y1<="0000001"; when "0001"=>y1<="1001111"; when "0010"=>y1<="0010010"; when "0011"=>y1<="0000110"; when "0100"=>y1<="1001100"; when "0101"=>y1<="0100100"; when "0110"=>y1<="0100000"; when "0111"=>y1<="0001111"; when "1000"=>y1<="0000000"; when "1001"=>y1<="0000100"; when others=>y1<="1111111"; end case; end process; end behav; (2)程序仿真 注:仿真图里没有对clk信号进行分频处理。 图 8.21.2 系统仿真全图 图 8.21.3 系统仿真图-预置部分 图 21.4 系统仿真图-商品种类选择部分 2图 8.21.5 系统仿真图-投币部分 图 8.21.6 系统仿真图-购买、找币结束交易部分 第 1 页 共5页
本文档为【第8章程序部分8.21 自动售货机VHDL程序与仿真】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_388858
暂无简介~
格式:doc
大小:199KB
软件:Word
页数:8
分类:互联网
上传时间:2018-09-08
浏览量:39