首页 eda课程设计_彩灯控制器

eda课程设计_彩灯控制器

举报
开通vip

eda课程设计_彩灯控制器....1.设计目的学习EDA开发软件和MAX+plusⅡ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2.设计题目描述和要求1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;2)随着彩灯显示图案的变化,发出不同的音响声。3)扩充其它功能。3.设计原理3.1方案论证这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一...

eda课程设计_彩灯控制器
....1.设计目的学习EDA开发软件和MAX+plusⅡ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2.设计题目描述和要求1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;2)随着彩灯显示图案的变化,发出不同的音响声。3)扩充其它功能。3.设计原理3.1 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 论证这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。.下载可编辑.....图3-1-1模式6结构图3.2模块设计1)集成分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。模块说明:Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。Clk:输入信号模块的功能即为分频输入的频率信号。.下载可编辑.....Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。图3-2-1集成分频器2)32进制计数器模块32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。Rst:输入信号复位信号用来复位32进制使其输出为“00000”。Clk:输入信号用来给模块提供工作频率。Count_out[4..0]:输出信号即为32进制计数器的输出。图3-2-232进制计数器3)彩灯控制模块彩灯控制模块用来直接控制彩灯的输出,使彩灯 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 现出不同的花样。Rst:输入信号使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input[4..0]:输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。.下载可编辑.....Output[7..0]:输出信号直接与彩灯相连来控制彩灯。图3-2-3彩灯控制模块4选1选择器模块Rst:输入信号复位信号使选择器的输出为“0”。In1、in2、in3、in4:输入信号接分频器的输出。Inp[1..0]:输入信号接4进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出。Output:输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放音乐。图3-2-44选1选择器5)4进制计数器模块进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作。Clk:输入信号来为计数器提供工作频率。Rst:输入信号复位信号使计数器的输出为“00”。.下载可编辑.....图3-2-54进制计数器3.3系统结构整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制32进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。图3-3-1系统功能模块.下载可编辑.....4.总结这次的EDA课程设计有一周的时间,在这一周的时间里我们充分合理的安排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计中并不是一帆风顺的,我们遇到了一些的问题,例如我们开始时用的文本的方式用一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越到很容易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自己都不知道程序的整体框图是什么,导致后面不能够继续下去,后面我们再一次对我们这次的设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方式来完成本次的课题设计,当然最重要的是分析各个模块间的关系。最后我们采用上面分析的结构框图。最后我们的设计很成功,仿真和硬件测试都是正确的,实现了我们的设计要求和目的。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识,把它运用到了实践当中,并且学到了很多在 关于书的成语关于读书的排比句社区图书漂流公约怎么写关于读书的小报汉书pdf 本撒和那个所没有学到的知识,通过查阅相关资料进一步加深了对EDA的了。总的来说,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。在我们的共同努力和指导老师的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目的。.下载可编辑.....6附录一程序:----------------------------------------------分频器模块-----------------------------------------LIBRARYieee;USEieee.std_logic_1164.all;ENTITYfenpinqiISPORT(clk,rst:INstd_logic;clk_10,clk_4,clk_6,clk_8:OUTstd_logic);ENDfenpinqi;ARCHITECTUREcdOFfenpinqiISbeginp1:process(clk,rst).下载可编辑.....variablea:integerrange0to20;beginifrst='1'thenclk_4<='0';-----复位信号控制部分elseifclk'eventandclk='1'thenifa>=3thena:=0;clk_4<='1';elsea:=a+1;clk_4<='0';endif;endif;endif;endprocessp1;p2:process(clk,rst)variableb:integerrange0to20;begin.下载可编辑.....ifrst='1'thenclk_6<='0';-----复位信号控制部分elseifclk'eventandclk='1'thenifb>=5thenb:=0;clk_6<='1';elseb:=b+1;clk_6<='0';endif;endif;endif;endprocessp2;p3:process(clk,rst)variablec:integerrange0to20;beginifrst='1'thenclk_8<='0';-----复位信号控制部分else.下载可编辑.....ifclk'eventandclk='1'thenifc>=7thenc:=0;clk_8<='1';elsec:=c+1;clk_8<='0';endif;endif;endif;endprocessp3;p4:process(clk,rst)variabled:integerrange0to20;beginifrst='1'thenclk_10<='0';-----复位信号控制部分elseifclk'eventandclk='1'thenifd>=9thend:=0;.下载可编辑.....clk_10<='1';elsed:=d+1;clk_10<='0';endif;endif;endif;endprocessp4;endcd;----------------------------------------------4选1选择器---------------------------------------LIBRARYieee;USEieee.std_logic_1164.all;ENTITYxzq4_1ISPORT(rst:instd_logic;inp:inintegerrange0to3;.下载可编辑.....in1,in2,in3,in4:Instd_logic;output:OUTstd_logic);ENDxzq4_1;ARCHITECTUREaOFxzq4_1ISBEGINPROCESS(rst,inp)BEGINif(rst='1')thenoutput<='0';elsecaseinpiswhen0=>output<=in1;when1=>output<=in2;when2=>output<=in3;when3=>output<=in4;whenothers=>null;.下载可编辑.....endcase;endif;ENDPROCESS;ENDa;-------------------------------------------彩灯控制模块----------------------------------------LIBRARYieee;USEieee.std_logic_1164.all;ENTITYcaidengISPORT(input:ININTEGERRANGE0TO31;rst:instd_logic;output:OUTstd_logic_vector(7downto0);sm:outstd_logic_vector(6downto0));ENDcaideng;.下载可编辑.....ARCHITECTUREaOFcaidengISBEGINPROCESS(input)BEGINifrst='1'thenoutput<="00000000";sm<="0000000";elsecaseinputiswhen0=>output<="10000000";sm<="0000110";when1=>output<="01000000";sm<="0000110";when2=>output<="00100000";sm<="0000110";when3=>output<="00010000";sm<="0000110";when4=>output<="00001000";sm<="0000110";when5=>output<="00000100";sm<="0000110";when6=>output<="00000010";sm<="0000110";when7=>output<="00000001";sm<="0000110";when8=>output<="00010000";sm<="0011011";when9=>output<="00110000";sm<="0011011";.下载可编辑.....when10=>output<="00111000";sm<="0011011";when11=>output<="01111000";sm<="0011011";when12=>output<="01111100";sm<="0011011";when13=>output<="01111110";sm<="0011011";when14=>output<="11111110";sm<="0011011";when15=>output<="11111111";sm<="0011011";when16=>output<="10000001";sm<="1001111";when17=>output<="11000001";sm<="1001111";when18=>output<="11000011";sm<="1001111";when19=>output<="11100011";sm<="0011011";when20=>output<="11100111";sm<="1001111";when21=>output<="11110111";sm<="1001111";when22=>output<="11111111";sm<="1001111";when23=>output<="00001000";sm<="1001111";when24=>output<="00000001";sm<="0100110";when25=>output<="00000010";sm<="0100110";when26=>output<="00000100";sm<="0100110";when27=>output<="00001000";sm<="0100110";when28=>output<="00010000";sm<="0100110";when29=>output<="00100000";sm<="0100110";.下载可编辑.....when30=>output<="01000000";sm<="0100110";when31=>output<="10000000";sm<="0100110";whenothers=>null;endcase;endif;endprocess;enda;--------------------------------------------32进制计数器模块-----------------------------------LIBRARYieee;USEieee.std_logic_1164.all;ENTITYcounter_32ISPORT(clk,rst:INstd_logic;count_out:OUTintegerrange0to31);.下载可编辑.....ENDcounter_32;ARCHITECTUREaOFcounter_32ISBEGINPROCESS(rst,clk)variabletemp:integerrange0to32;BEGINIFrst='1'THENtemp:=0;ELSIF(clk'eventandclk='1')THENtemp:=temp+1;if(temp=32)thentemp:=0;endif;ENDIF;count_out<=temp;ENDPROCESS;ENDa;.下载可编辑.....-------------------------------------------4进制计数器模块----------------------------------LIBRARYieee;USEieee.std_logic_1164.all;ENTITYcounter_4ISPORT(clk,rst:INstd_logic;count_out:OUTintegerrange0to3);ENDcounter_4;ARCHITECTUREaOFcounter_4ISBEGINPROCESS(rst,clk)variabletemp:integerrange0to32;BEGIN.下载可编辑.....IFrst='1'THENtemp:=0;ELSIF(clk'eventandclk='1')THENtemp:=temp+1;if(temp=4)thentemp:=0;endif;ENDIF;count_out<=temp;ENDPROCESS;ENDa;.下载可编辑......下载可编辑.....7附录二编译.下载可编辑.....7附录三时序仿真.下载可编辑......下载可编辑.
本文档为【eda课程设计_彩灯控制器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
is_601737
暂无简介~
格式:doc
大小:211KB
软件:Word
页数:0
分类:企业经营
上传时间:2021-04-16
浏览量:0