首页 基于LPM_ROM的正弦信号发生器

基于LPM_ROM的正弦信号发生器

举报
开通vip

基于LPM_ROM的正弦信号发生器实验八 基于LPM_ROM的正弦信号发生器 基于LPM_ROM的正弦信号发生器 如图1所示的正弦信号发生器的结构由4部分组成。 1、计数器或地址发生器(6位) 2、正弦信号ROM(6位地址线,8为数据线),含64个8位数据(一个周期)。 3、VHDL顶层设计。 4、8位D/A。 顶层文件singt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个正弦数据ROM,由LPM_ROM模块构成。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此选择64点),以及D/A输出...

基于LPM_ROM的正弦信号发生器
实验八 基于LPM_ROM的正弦信号发生器 基于LPM_ROM的正弦信号发生器 如图1所示的正弦信号发生器的结构由4部分组成。 1、计数器或地址发生器(6位) 2、正弦信号ROM(6位地址线,8为数据线),含64个8位数据(一个周期)。 3、VHDL顶层 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 。 4、8位D/A。 顶层文件singt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个正弦数据ROM,由LPM_ROM模块构成。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此选择64点),以及D/A输出的频率f的关系是: f=f0/64 图1. 正弦信号发生器结构框图 内容步骤 1、定制LPM_ROM初始化数据文件(建立.mif格式文件) 首先确定图1中ROM内的波形数据文件。Quartus II可接受memory initialization file (.mif)格式的LPM_ROM初始化数据文件。 选择菜单file—>new命令,单击other files标签,选择memory initialization file项,产生ROM数据文件大小选择窗。根据64点8位正弦数据的情况,选择ROM的数据数为64,数据宽取8位。单击OK出现空的mif数据 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 格,然后将波形数据填入表格中(如图2)。完成后,以romd.mif文件名保存。 图2. 波形数据填入mif文件表中 2、定制LPM_ROM文件 在设计正弦信号发生器前,必须首先完成存放波形数据ROM的设计。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。 选择菜单tools—>megawizard plug-in manager命令,在出现的对话框中选择create a new custom,单击next,产生图3所示对话框,如图设置 图3. LPM宏功能模块设定 在左栏选择memory compiler项下的ROM:1-PORT,再选择器件和VHDL语言方式,输入ROM文件存放的路径和文件名。单击next出现图4对话框,按图中设置 图4. 选择控制线、地址线和数据线 单击next,按图5所示设置 图5. 选择地址锁存信号inclock 单击next,按图6所示设置 图6. 调入ROM初始化数据文件并选择在系统读写功能 单击finish按钮完成ROM定制。将生成的data_rom.vhd文件加入工程中。 3、完成顶层设计 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity singt is port(clk:in std_logic; dout:out std_logic_vector(7 downto 0)); end singt; architecture dacc of singt is component data_rom port(address:in std_logic_vector(5 downto 0); inclock:in std_logic; q:out std_logic_vector(7 downto 0)); end component; signal q1:std_logic_vector(5 downto 0); begin process(clk) begin if clk'event and clk='1' then q1<=q1+1; end if; end process; u1:data_rom port map(address=>q1,q=>dout,inclock=>clk); end dacc; 4、编译、仿真 由仿真波形可见,随着每一个时钟上升沿的到来,输出端口将正弦波数据依次输出。
本文档为【基于LPM_ROM的正弦信号发生器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_719619
暂无简介~
格式:doc
大小:220KB
软件:Word
页数:5
分类:互联网
上传时间:2013-12-11
浏览量:69