首页 VHDL实验报告一2选1多路选择器

VHDL实验报告一2选1多路选择器

举报
开通vip

VHDL实验报告一2选1多路选择器实验一实验目的:熟悉quartus的vhdl文本设计流程全过程,学习简单的组合电路的设计,多层次的电路设计,仿真和硬件测试二、实验内容内容(一)用vhdl语言设计2选1多路选择器参考例3-1程序设计如下:libraryieee;useieee.std_logic_1164.all;entitymux21aisport(a,b,s:inbit;y:outbit);endentitymux21a;architectureoneofmux21aisbeginy<=awhens='0'elseb;endarchitectu...

VHDL实验报告一2选1多路选择器
实验一实验目的:熟悉quartus的vhdl文本设计流程全过程,学习简单的组合电路的设计,多层次的电路设计,仿真和硬件测试二、实验内容内容(一)用vhdl语言设计2选1多路选择器参考例3-1程序设计如下:libraryieee;useieee.std_logic_1164.all;entitymux21aisport(a,b,s:inbit;y:outbit);endentitymux21a;architectureoneofmux21aisbeginy<=awhens='0'elseb;endarchitectureone全程编译后软件提示0错误,3警告,可以继续下面仿真操作。程序分析:这是一个2选1多路选择器,a和b分别为两个数字输入端的端口名,s为通道选择控制信号输入端的端口名,y为输出端的端口名。时序仿真及分析:时序仿真输入图:时序仿真输出图:Vain,at10.16g3於5.12gIt110.lrJns:aB0r—1■■——munLTLmnjrurLa1bB0■■■§lT11■1111Ii&耳_1;i:11ii1:|_E0i■iiiill11111ii1111I11111111jjj1』111111::37E0■■i_■111111111II_nLTLm:iLL_d:Ul时序分析:由上面两图可以得知:当s=0时,y口输出a,当s=1时,y口输出b下载和硬件测试:NadeNameDirectionLacation1aInputPIN_^32_/bInputPIN_IF3_/sInputPIN_14JyOutputPIN_129引脚锁定图:程序下载完成后,选择实验电路模式5,通过短路帽选择clock0接256Hz信号,clock2接8Hz信号。通过键一控制s,当键一进行切换时,明显能听到扬声器发出两种不同音调的声音。实验内容(二)双二选一多路选择器设计程序设计:libraryieee;useieee.std_logic_1164.all;entitymux21aisport(a,b,s:inbit;y:outbit);endentitymux21a;architectureoneofmux21aisbeginy<=awhens='0'elseb;endarchitectureone;entitymuxkisport(a1,a2,a3,s0,s1:inbit;outy:outbit);endentitymuxk;architecturebhvofmuxkiscomponentmux21aport(a,b,s:inbit;y:outbit);endcomponent;signaltmp:bit;beginu1:mux21aportmap(a=>a2,b=>a3,s=>s0,y=>tmp);u2:mux21aportmap(a=>a1,b=>tmp,s=>s1,y=>outy);endarchitecturebhv;全程编译后软件提示0错误,2警告程序分析:这是一个双2选1多路选择器,al、a2和a3分别为两个数字输入端的端口名,s0、sl为通道选择控制信号输入端的端口名,outy为输出端的端口名。实体mux21a是一个2选一选通电路,实体muxk是元件的例化,其作用是将两个mux21a组合成一个3选1多路选择器。时序仿真及分析:时序仿真输入图时序仿真输出图B:=diieValueat10.18g〕ps5.121510.015.36as204Euz25.5ns3072us35.84usIIIIIIII30.175ns_/0■/3_r-5aL:史a3outy=0sLB0B0B0B0B□B0...—i——i——HiH_i——i—1ii——i~~i——ininnfiinininniiiirnionninnfiinniniry-nninnnriI1时序分析:从仿真出来的结果,我们不难发现,s0和s1做为a1、a2、a3的选通控制信号。当s0=0.、s1=0时,outy输出a1;当s0=0.、s1=1时,outy输出a2;当s0=1.、s1=0时,outy输出a1;当s1=1.、s2=1时,outy输出a3;下载和硬件测试:引脚锁定图NodeNameDirectioriLocation1alInputPIN_172qInputPIN_933日3InputPIN_164outyOutputPIN_129SsOInputPIN_16£1InputPIN_2程序下载完成后,选择实验电路模式5,通过短路帽选择clock0接256Hz信号,clock2接8Hz信号,clock5接1024Hz。通过键一、键二分别控制s1、s2,当键一、键二进行切换时,明显能听到扬声器发出三种不同音调率的声音。实验结果:在实验一中内容一和内容二编译,仿真都没问题,除了有两三个警告以外,并无其他错误,但是在下载过程中,由于设置编程器处出现一点问题,导致下载失败,经过请教,调整后成功运行四、实验过程所出现的问题及其解决首先,仿真结果出现延时,应该将a,b,s的周期增大些,且要保证单位为us,刚开始做实验内容(一)时,文件夹名字与程序中所用的实体名不一致,导致程序运行时无法找到文件夹,无法正常工作,经更改后,正常运行,同时文件夹名字不用汉字和数字,以免出错。每次修改过程都应该注意保存,才能将修改的结果反映到所输出的波形中,刚开始常常忘记,经过多次操作后才能够熟练运用。HDL程序设计一组合逻辑电路设计班级:08级通信 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 二班学号:姓名:
本文档为【VHDL实验报告一2选1多路选择器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_769254
暂无简介~
格式:doc
大小:95KB
软件:Word
页数:5
分类:建筑/施工
上传时间:2018-11-18
浏览量:2