首页 基于FPGA的通信系统高斯噪声生成与仿真

基于FPGA的通信系统高斯噪声生成与仿真

举报
开通vip

基于FPGA的通信系统高斯噪声生成与仿真基于FPGA的通信系统高斯噪声生成与仿真 摘要    3 1    系统概述    4 2    随机信号生成    5 2.1    随机信号原理概述    5 2.2    随机信号的生成方法    6 2.3    M序列产升起的Verilog HDL描述与实现    8 3    高斯噪声生成器    10 3.1    高斯噪声的原理概述    10 3.2    生成高斯白噪声    10 3.3    高斯噪声生成器    11 4    高斯噪声的输出    14 5    总结与展望    14...

基于FPGA的通信系统高斯噪声生成与仿真
基于FPGA的通信系统高斯噪声生成与仿真 摘要    3 1    系统概述    4 2    随机信号生成    5 2.1    随机信号原理概述    5 2.2    随机信号的生成方法    6 2.3    M序列产升起的Verilog HDL描述与实现    8 3    高斯噪声生成器    10 3.1    高斯噪声的原理概述    10 3.2    生成高斯白噪声    10 3.3    高斯噪声生成器    11 4    高斯噪声的输出    14 5    总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 与展望    14 6    参考文献    15 7    附录    15 摘要 系统以Altera公司的FPGA——EP1C3T144C8为核心模块,辅以D/A转换器和线性放大器等外围电路组成,生成了一个2Vp-p的随机信号来仿真实际链路上的噪声。本高斯噪声生成器提出了一种基于 FPGA 的高斯白噪声序列的快速产生 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,包含M序列生成模块、高斯噪声生成模块、D/A转化模块以及放大与滤波模块等几个模块。该方案采用了M序列生成技术、查找 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 技术,适合在 FPGA 中实现。该方法实现简单,快速且占用的硬件资源少,而且采用 Verilog HDL 语言编写,可移植性强。 此外,通过外围的放大和滤波电路,可以灵活控制噪声的幅度。 关键字 FPGA(现场可编程逻辑门阵列)    高斯噪声    随机信号    M序列生成 Abstract The system take Altera Corporation's FPGA--EP1C3T144C8 as the core module, with D / A converter and a linear amplifier as the composition of the external circuit to generate a 2Vp-p random signal to simulate the noise on the actual link. This Gauss noise generator proposed one fast method based on the FPGA to generate white Gauss noise sequence. This method uses the M sequence production technology and the search table technology, suitably realizes in FPGA. This method realizes simply, fast, and takes the fewer hardware sources, moreover uses Verilog HDL compilation, has stronger portability. In addition, through the external filter and amplifier circuit, you can control the noise nimbly. Keyword FPGA(Field Programmable Gate Array), Gauss noise, Random signal, M Sequence Generation 引言 在通信和控制系统中,高斯白噪声是很常见的噪声信号,因此需要利用高斯白噪声信号源测试和检验系统的抗干扰性能。同时,在研究无线通信信道特性时,也常常需要高斯白噪声信号源。所以 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 实现一个结构简单、性能可靠的高斯白噪声信号源,对系统检测和理论研究都有非常必要的意义。 目前,很多高斯白噪声源是在微处理器和DSP等软件系统上实现的,因为它们通过使用函数库可以方便的计算出正弦和指数函数。但是与软件仿真相比,利用硬件仿真器可以大幅提高仿真速度。现有的硬件高斯噪声发生器通常分为物理噪声发生器和数字合成噪声发生器两类。虽然物理噪声发生器精度较高,但是实现电路较为复杂,所以在工程中更多的选用数字式噪声发生器。 本设计提出一种基于FPGA的高斯白噪声发生器。与传统方法不同,设计采用一种直接的查找表技术,利用正态分布的可加性获得高斯白噪声随机数,与其他发生器相比,具有速度快、利用率高和结构简单的特点。同时,用户可通过该发生器的串行接口对其进行参数配置,大大提高了噪声发生器的灵活性。本系统采用Verilog HDL编程设计,因此易于移植,可作为功能模块嵌入其他系统中进行测试仿真。 系统概述 本系统以FPGA为核心,辅以D/A转换器和模拟放大器等外围电路,生成了一个2Vp-p的随机信号来仿真实际链路上的噪声。系统结构如图2-1所示。 本噪声生成器可大体分为M序列生成器模块、高斯噪声生成器模块、D/A转换器模块以及模拟线性放大器模块。其中,M序列生成器模块与高斯噪声生成器模块是基于FPGA利用Verilog HDL描述实现。 M序列生成器利用的作用是生成周期为1023的10位伪随机码,利用10级线性移位寄存器加反馈生成。在线性反馈移位寄存器中每隔 1个同步时钟输出一个状态值作高斯噪声生成器的地址。 高斯噪声生成器的作用是根据M序列生成器生成的M序列生成高斯噪声向量,利用M序列的周期特性可降低高斯白噪声任意两个不同时刻的采样信号的相关性。设计利用了查找表技术,其LPM_ROM存储了1024个高斯噪声数值,高斯噪声生成器根据M序列生成器的10位伪随机码,在LPM_ROM内查表生成高斯噪声数值输出到D/A转换器。 D/A转换器的作用是将FPGA生成的高斯噪声由数字信号转换成模拟信号。在经过线性放大器将模拟信号线性放大到2Vp-p并经过模拟滤波单元滤波输出。 下面详细描述系统各结构的原理与实现。 图表 1-1噪声生成器系统构成图 随机信号生成 1.1 随机信号原理概述 通信过程中遇到的信号,通常总带有某种随机性,即他们的某个或某几个参数不能预知或不能完全预知。我们把这种具有随机性的信号称为随机信号。随机信号的统计特性是通过它的概率分布或数字特征加以表述的,在通信系统中常用的随机信号,其概率密度函数介绍如下: 1) 在(a,b)间服从均匀分布的随机变量的概率密度函数为: 公式 2-1 均匀随机变量概率密度函数 2) 正态分布的随机变量的概率密度函数为: 公式 2-2 正态分布概率密度函数 服从参数( )的正态分布记为N( )。当 =1且 =0时的分布成为 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的正态分布,记为N(0,1)。 3) 瑞利分布的随机变量的概率密度函数为 公式 2-3瑞利分布概率密度函数 4) 指数分布的随机变量的概率密度函数为: 公式 2-4指数分布概率密度函数 上述为随机变量的概率密度函数,为生成能够一个随机变量来仿真实际链路的噪声,系统设计选择高斯白噪声。 1.2 随机信号的生成方法 白噪声是一种随机过程,它的瞬时值服从正态分布,功率谱在很宽频带内都是均匀的,且具有极其优良的自相关特性。但对白噪声进行放大、检测、同步等具有技术上的困难,只能用具有类似于带限白噪声统计特性的伪随机码信号来逼近它。本系统采用m序列实现伪随机码。由于数字精度有限,数字高斯白噪声发生器实际上产生的是伪随机数,具有一定的周期性。但只要周期足够长,就能满足实际工程的需要。 M序列是最长线性移位寄存器序列,是由移位寄存器加反馈后形成的,其结构可以用n次多项式来表示: 公式 2-5 M序列n次多项式 N级线性移位寄存器的码周期N = 2n - 1,上式叫做特征多项式。N级线性移位寄存器产生的序列是否是m序列,与其多项式有密切关系,可以证明产生M序列的多项式必须是不可约多项式的本原多项式。 M序列的自相关函数满足公式2-6。 公式 2-6 M序列自相关函数 将M序列的自相关函数 经过傅立叶变换可得到它的功率谱,其功率谱可由公式2-7表示。 公式 2-7 M序列功率谱表达式 由M序列功率谱表达式可得: 1) M序列的功率谱为离散谱; 2) 功率谱包络为 ,每个分量的功率为周期N成反比; 3) 直流分量与N2成反比,N越大,直流分量越小,载漏越小; 4) 带宽有码元宽度Tc决定,Tc越小,码元速率越高,带宽越宽; 5) 增加M序列长度N,减小码元宽度Tc,将使谱线加密,谱密度降低,更接近于理想噪声。 一般的M序列可以用带有反馈的移位寄存器来实现,用移位寄存器实现M序列如图2-2所示。由于图中的线性移位寄存器带有反馈,因此在以为线性脉冲作用下,移位寄存器各级的状态不断变化,通常移位寄存器的最后一级输出。 图表 2-2 n级线性移位寄存器 输出序列是一个周期序列,其特性有移位寄存器的级数、初始状态、反馈逻辑以及时钟速率(决定码元输出宽度)所决定。当移位寄存器的级数及时钟一定时,移位寄存器输出全0序列,为了避免这种情况,需设置全0排除电路。 可以用多项式来描述线性反馈移位寄存器的反馈连接状态: 公式 2-8 线性反馈移位寄存器n次多项式 若该n次多项式满足下列条件: 1) 为既约多项式(即不能分解因式的多项式); 2) 可整除xp + 1,p=2n-1; 3) 除不尽xq + 1,q
本文档为【基于FPGA的通信系统高斯噪声生成与仿真】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_792768
暂无简介~
格式:doc
大小:76KB
软件:Word
页数:0
分类:互联网
上传时间:2019-08-21
浏览量:37