首页 单相正弦波变频设计

单相正弦波变频设计

举报
开通vip

单相正弦波变频设计单相正弦波变频设计 单相正弦波变频电源 摘要 该变频电源以TI公司的430芯片msp430fe427为主控核心,内部调制生成SPWM信号, 驱动全桥逆变电路,将直流电压转换成为交流电压,其幅值和频率可以通过430内部软件编 写来进行调节。本系统外接点阵液晶以及键盘,可以实时显示输出电压,电流,功率和交流 电压频率,并且可以通过键盘设定电源输出电压的有效值和频率。另外本电源具有过流保护 功能,可在输出电流过大时切断交流输入端,提高系统的安全性和可靠性。 关键词: 逆变 过流保护 Abstract The ...

单相正弦波变频设计
单相正弦波变频设计 单相正弦波变频电源 摘要 该变频电源以TI公司的430芯片msp430fe427为主控核心,内部调制生成SPWM信号, 驱动全桥逆变电路,将直流电压转换成为交流电压,其幅值和频率可以通过430内部软件编 写来进行调节。本系统外接点阵液晶以及键盘,可以实时显示输出电压,电流,功率和交流 电压频率,并且可以通过键盘设定电源输出电压的有效值和频率。另外本电源具有过流保护 功能,可在输出电流过大时切断交流输入端,提高系统的安全性和可靠性。 关键词: 逆变 过流保护 Abstract The frequency conversion power to TI company's 430 chips as the controller msp430fe427 core, internal SPWM modulation signal generation, drive the whole bridge inverter circuits, will be transformed into dc voltage ac voltage, the amplitude and frequency can through the 430 internal writing software to adjust. This system external LCD and keyboard, can real-time display output voltage, current, and power frequency and voltage, and can be set through the keyboard output power the effective value of voltage and frequency. In addition the power supply has the over-current protection function, can be in output current too big when the ac input, improve the reliability and safety of the system. Keywords: inverter over-current protection 一, 绪论 在任何一个电路之中,电源是一个电路的最核心的模块,电源的设计指标关乎一个电路是否可以正常的工作,按照交流和直流的分类,电源可以分为交流电源和直流电源,可以将直流电源的频率看成是0,所以对于这两类的电源都会有两个重要的指标:幅值的稳定和频率的稳定。在强电领域大多运用的是交流电源,而在微电子领域运用最多的是直流电源。比如:在电力系统之中由电厂发出的电是交流380v,经过长距离的传输之后变成220,这也是家用电器能用的交流电,但是经过长距离的传输之后,由于信道的各种不稳定的因素存在使得,交流电非常的不稳定,不稳定的交流电压直接会导致电器很容易损坏,所以在ups电源之中是将交流电转换成直流电,有蓄电池储存,然后再有直流电变换成交流电~由此可见稳定的交流电源是很重要的,本 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 设计就是一个单相正弦波变频电源,而在ups电源之中比较实用的逆变电路有:串联电感逆变器,。。。。本电路的逆变原理采用的是全桥的驱动形式,采用微控制器进行脉宽的控制,使得输出频率可大可小。在本电路之中也涉及到了交流转换成直流的电路,采用的是开关电源芯片制作而成的直流电源。从而使得本电路设计低功耗。 二, 电源的设计 电源是任何一个电子电路的最根本,而且最核心的部分,所以对于 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目提出的ocl功率放大电路的?15V的电源要有较小的绝对稳压系数(绝对稳压系数为输出的变化和输入的变化的比值),这样就会减少由于电源的引起的噪声,一个绝对稳压系数较大的稳压电源加在ocl放大电路之上,会造成电源本身噪声加载到信号上,导致信号的失真,这样就造成了一个不好的接收效果。比如:在声频通讯时,会增高误码率。在功放电路之中,会给人不好的听觉效果~ 2.1稳压电源的参数指标: a) 最大纹波电压(常以峰峰值,或是有效值表示) b) 纹波系数 c) 纹波抑制比 d) 温度漂移和温度系数 e) 漂移 f) 响应时间 g) 失真 h) 稳定度 上面是一个稳压电源的参数指标,对于稳压电源最主要的则是要好的稳压系数为先,其次是要对于负载变化时,输出电压的线性好(驱动效率高),并且对于现代社会的节能环保来说,就要求设计的电路低功耗,所以在一个稳压电源制作好了之后要根据以上指标进行参数的标识,下面就要对本论文的ocl电路的?15V电源的设计~ 电源电路设计: 本电源电路的设计分为四个部分:基本电源电路设计,参数型稳压电源的设计,串联反馈型稳压电源和开关电源的设计,最后根据各自的优缺点得到最终的设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。 2.2基本电源电路的设计(电路的变压整流以及滤波) 在整个电力系统之中分为两大部分,一个是发电厂出来的电,另外就是蓄电池存储的能量变换的交流电,其实归根究底是发电厂出来的电,在ups电源之中会将交流电变成直流电存储于蓄电池之中然后再有逆变器得到220v的交流电。这个过程是为了增强交流的稳定性,所以最基本的直流电源也是两种,交流变换成直流,直流变换成直流,两者既统一又对立~ 交流变换成直流: 在任何一个电源的前端都是将220v的交流电转换成我们所需要的直流电的过程,其变换的框图如下所示: 滤波 整流器 整流 变压器 变压 图 1-1 在图1-1之中交流转换成直流电压的过程需要变压器变压,在应用的频率上的不同可以分为高频变压器和低频变压器~国内市电为低频50HZ,所以采用通用的变压器即可,考虑到功率的问题可以取20w?15V的变压器,变压之后可得到双15v的交流电,综合变压和整流电路,会有以下的整流通用电路: 图 1-2 图 1-3 在大多数的整流电路之中会用到图 1-3所示的电路,这样的电路会得到很高的整流效率,同时为了得到双电压,可以采用在副线圈接上两个整流桥得到双电压的输出形式。按照图1-1,整流之后就是滤波,对于滤波电流比较典型的有lc滤波,π型rc滤波电路,π型lc滤波电路,晶体管滤波电路。在大部分电路之中采用在整流之后直接加上大电容,如果考虑到节省空间的和滤波效果更佳的话,那可以采用晶体管滤波电路。但是在此,为了得到精准的电压可以采用晶体管滤波电路,这样一个简单,通用的?15V电源制作成功~ 2.3 参数型稳压电路及其电路的设计: 参数型稳压电源的设计的基本原理是利用半导体元件的非线性,如稳压二极管,在一个基点电压上,如果输入电压增加会导致流过该元件的电路猛增,通过电流的反馈,得到稳压电路。 基本参数型稳压电路框图如下: 输入电压 调节元件 负载 图1-4 (设计框图) 参数型稳压电路采用最基本的稳压二极管作为调节元件,其最经典的稳压电路图如下: 图1-5 经典参数型稳压电路 上图中的电阻起的是反馈作用,但是电阻的值不能过大,这样在大电流工作时,会影响实际电压的输出。在实际设置电路之中可以根据输入电压在稳压二极管上再串接一个稳压二极管保证高电压的需求,在有些场合需要得到不同的几组电压和较低稳压系数,采用以下电 路,该电路很容易达到10^(-3) 以下的稳压系数: 图1-6 参数型稳压电路 除了上述的稳压电路之外,还有桥式稳压电路,和稳压管二极管的串连,来进行稳压,参数型稳压电路的优点:简单,而且成本低,电路不需要加上保护措施。要得到本电路的?15V,在前端的输入电路是3.1所述的电路,要进行双15v的稳压在?15V与地之间要分别加上稳压二极管,这样参数型?15V稳压电源便制作出来! 的其缺点是:效率低,输出电压调节范围小,输出电压不能过高,负载变化时,电压稳定度明显下降~ 3.3,串联反馈式稳压电源: 串联反馈性稳压电源的基本原理是将输出电压与基准电压进行对比,得到误差电压去调 整输入电压,最终将电压稳定下来,运用自动控制的基本原理,并且这种原理很通用常 见的有锁相环等,以下是串联型反馈电路的框图: 图1-7 串联反馈型稳压电路 通常串联型反馈电路调整元件为三极管,为了扩流有时也采用的是三极管的串联和并联的基本形式,调整元件主要是调整Uce两端的电压,从而达到稳压的目的,基准电压采用的是稳压二极管或者其他的起稳定电压的作用的元件或电路,误差放大采用的则是对称式的差分结构,或是运算放大器,对于取样电路可以采用最简单的两电阻分压的基本形式。其基本原理是:当输入电压降低,或是负载变化时,导致输出电压降低,取样电路取样经过和基准电压的比较得到误差电压并放大,将放大的信号来调节调整元件,从而使Uce两端的电压降低,根据Uo=Ui – Uce,得到输出的电压升高,从而达到了稳压的目的~ 2.4 开关电源的设计: 开关型稳压电源的工作原理和串联型稳压电源的基本原理是相似的,不同之处在于开关型稳压电路的调整管处于开关状态,这样就会使得整个稳压电路的效率得到提高,和串联型稳压电路相比,散热的问题得到解除,并且开关电源的效率可以达到80%-90%这样开关电源就会得到大范围的应用~ 开关型稳压电路的基本框图如下: 图1-8 简单串联型反馈电路 图中VT1和VT2为符合开关调整管,VT3构成特殊的多谐振荡器,L,C2,C3,VD,构成平滑的滤波电路VT4为误差放大管,VDW为基准电压,R6和R7为采样电路,其工作的原理和串联型反馈电路相似,这里不再累述,只需注意VT1和VT2工作于开关状态。 在上述各电路之中都是围绕绕基本电源电路作为输入设计,虽然该电路简单,为了得到稳定的电压不能用最基本的电源电路,并且参数型稳压电路缺点是:效率低,输出电压调节范围小,输出电压不能过高,负载变化时,电压稳定度明显下降,所以其电路也不能采纳,串联型反馈电源和开关电源的原理类似,但是开关电源的相比之下的优点是低功耗,既转换效率高,往下可以看到开关电源的另一个优点就是可以利用蓄电池进行供电~所以综合所有的稳压电源的优缺点,本设计采用集成的开关电源芯片MC34063,该开关电源芯片性价比较高~ ?15V开关电源的设计电路图如下: 图1-9 负电源 图2-0 正电源 在上图可以看到mc34063芯片的内部结构图,其中Q1,Q2为开关管,运放作用是误差放大,R1和R2构成采样电路,本电路采用1.25v的基准电压,mc34063采用斩波型功率变换电路得到的正负电源~在任何的电子电路的设计之中除了要考虑到阻抗的匹配之外,还要有电器特性的匹配,既是驱动电流或电压,论文要求负载的功率要达到7.5w。在电路之中负载阻抗为8欧姆~现在工作电压为30v,所以折中的话,要求驱动电流可以达到1A,所以上图的电源的电路是不可能使得整个电路的电气特性匹配的,电路的改进 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 是在上图的2引脚上接上一个三极管的基极,集电极接1引脚,其他的不变,那么对于整个电路就会达到1A的驱动电流了~ 在实际的调试的过程之中,要得到?15V的电源,只需要调节Rsc便可,将负电源中Rs 减小,正电源中Rsc增大,将电路焊接好了之后,硬件调试即可~以上电路得到的开关 稳压电路的优点是只需在前端的输入电压上面加上5v-12v的通用电源即可,在实际的电 源电路的应用之中可以使最基本的直流电源,加上78l05,然后再接上该电路~ 三, 逆变电路设计 对于任何个交流的变频电源逆变器是整个电路最核心关键的部分,最经典的逆变电路结构如下: 图3-1 如上图所示Q1-Q4分别是桥式电路的四个臂,实际的应用之中会有其他的一些辅助元件组成,其基本的工作原理是欧诺个两组开关的切换来实现频率的变换的作用,上图所示的电路之中R1为其负载,当为纯电阻的时候电压的输出和电流的输出都为方波形式,为感性负载的时候电流滞后于电压。在逆变电路之中,最重要的概念为换相,即是如何改变电流的流向得到交流电。所有的逆变都是围绕换相来进行的,也就是研究如何换相。在逆变电路的发展之中产生了半桥逆变电路和全桥逆变电路。 3.1半桥逆变电路: 图3-2 上图为半桥逆变电路的基本电路结构,和感性负载的电压和电流的输出波形,在上图之中两个开关器件的基级分别半周期的正偏置和半周期的反偏置,电容的作用是提供能量给开关器件工作,两个电容上的降压分别是Vcc/2,二极管的作用起到了续流的作用,保护开关器件,由于二极管的降压只有0.7v左右,所以可保证负载得到更多的分压。该电路的优点就是电路简单,使用的器件少,但是缺点也是显而易见的,该电路使得负载的输出电压只有Vcc/2 ,由于电容两端的电压可能在电流流动的过程之中不稳定,会导致输出的幅值够稳定。运用于几千瓦以下的小功率逆变器,三相桥式可以看成是若干个半桥式电路的组合,也就是电网电路之中应用的串连电容式逆变器。 3.2全桥逆变电路: 图3-3 由上图可以看出全桥逆变电路和图3-1的电路结构式一样的,这样就会使得电路的电压的输出幅值提高一倍,在直流侧加上大电容使得无大脉动,输出电压矩形波,电流则因负载的不同会有所不同,同时续流二极管给无功功率反馈提供通道。 基于全桥式电路的众多的优点,所以本路的设计采用的是全桥式逆变电路,在本电路之中采用的是spwm调制的方法来实现频率的变换,spwm调制的方法有很多种,但是主要是分为硬件调制和软件调制两种,由于硬件调制较为复杂,而软件调制相对比较简单,所以本电路是采用低功耗的430单片机为主控mcu,运用软件的方法来实现。 四,数字式spwm脉宽采集原理及实现方法: 4.1 spwm调制概述 在逆变器的脉宽调制技术之中有一种参考波(正弦波,梯度波,方波)为“调制波”,运用正三角波为“载波”。由于调制波是载波频率的N倍,所以在调制波和载波频率相交的时候,便会得到幅值相等,脉宽正比与调制波函数值的方波序列。运用数字量取代模拟量,将数字量去控制开关管得通断,由于该数字量是按照正弦波的规律变化的,所以这种技术叫做正弦脉宽调制技术。 图3-4 上图为半波spwm调制技术,半波spwm调制技术和多重叠加法比较起来有很多优点: 1, 电路简单,只要一个功率控制级,既可以调节输出电压,又可以调节输 出频率 2, 可以使用不可控整流桥,使系统对电网的功率因数与逆变输出电压值无 挂关。 3, 可以进行调频,调压,与中间直流环节的原件参数无关,系统的动态响 应速度快 4, 可以获得跟好的波形改善效果 5, 更好的谐波效果 正是由于这些优点,spwm逆变技术占据当今的绝对领导地位。 4.2 Spwm波调制算法的研究: 在调制的过程之中采用的是高频的三角波,正是如此,所以正弦波和三角波在正交的过程之中,正弦波看上去是近似一段段直线,那么便可以利用三角形相似定理来求得占空比。 4.2.1三角形相似法; 该算法并不需要很复杂的理论的推导,三角波的频率越高,精度也就越高,所以看上去是一种比较实用的方法。 图3-5 在上图之中是利用三角形abc和三角形doc相似的放式得到Ussin(WsTs)/Uc=T1/(Tc/2)。在上式之中Ussin(WsTs)为正弦波的幅值,由于该正弦波是程控的,所以容易的便可以使得其相位为0,Uc为三角波的幅值,Uc>Us。Tc》 Ts。 得到T1=MTc/2sin(WsTs)=MTcsin[(KTc+ Tc/2)Ts] = MTc/2sin[(2k+1)/N]=MXk Tc/2sin[(2k+1)/N] Xk= 其中N为载波比,k=0、、、、、N/2。同理有三角形a1b1c1相似与三角形doc1,使得: T2= MXk+1; 得到正弦波的脉冲间隔为T1+T2; 从而脉冲宽度为Tc-(T1+T2); 4.2.2公式递推法; 公式递推法是将三角波和正弦波的公式列出,使用交点处幅值相等的原则,再利用泰勒公式进行分解计算,所以再本设计之中显得该计算复杂,所以本设计不予采用。 4.2.3面积等效算法; 面积等效算法是将一个正弦波分为N个等分,如下图所示,将一个正弦波分为五个等分,使得每个等分的面积和一个脉冲的面积相等,便可以的到正弦波的脉宽模拟。 图3-6 以上的三种算法比较起来,三角形相似的方法最为简单,并且在载波的频率较高的情 况之下,三角形相似的方法有很高的精度,完全使用与本设计的20-100HZ的设计要求,在上面的图片之中采用的都是单极性的调制,双极性的调制看上去也就是将三角波扩展到了正负极性,得到的调制脉冲也正是低电平为负。本设计之中考虑到无功功率的影响采用的是单极性的调制。 五, 硬件电路的设计: 5.1开关管的选择 电力电子线路中,广泛用到各种功率开关器件,如可关断晶体管(GTO),电力晶体管(GTR),功率场效应晶体管(Power MOSFET),绝缘栅双极晶体管(IGBT)等,本设计要求输出交流电压最大为36V,最大电流1.5A,考虑到设计成本和驱动电路设计难度,本设计采用功率MOS管IRF250,其最大导通电流30A,最大漏源极电压200V,符号要求。在本设计中,采用4个开关管构成全桥逆变器,通过两路互补SPWM信号驱动逆变器,使逆变器每条对角线上的两个开关管同时导通,另外两个同时截止,利用面积等效原理,使得输出的波形经过低通滤波之后呈现出正弦波形,达到将直流电转化为交流电的目的。主电路的原理如图3-1所示。 5.2驱动电路的设计 由于在本电路的设计之中采用的是功率型长效应管,所以对于pwm的驱动电路的选择要进行匹配。 方案一 采用集成半桥驱动芯片 集成半桥驱动芯片采用自举电容对高边MOS管进行驱动,例如IR公司的IR2110,Linear Technology公司的LTC1160,采用该方案只需在芯片外围接少量元件就能完成一个半桥的驱动,简化电路,便于调试,工作可靠性高。缺点是成本较高。 方案二 采用分立元件驱动 分立元件对高边MOS管的驱动也采用自举电路,但由于采用分立元件,增加系统复杂度,不便于调试,并且工作可靠性不高。优点是成本较低。 方案三 采用隔离电源驱动 采用隔离电源对高端MOS管进行驱动,由于采用了隔离电源,高边驱动和低边驱动原理相似,驱动电路简洁,且能在一定程度上提高系统抗干扰性能。但是采用了隔离电源,使系统在整体上仍然复杂,且成本也会相应提高。 综上所述,我们选择了方案一。采用2片IR2110芯片驱动逆变器。 图5-1 功率管驱动采用IR公司的IR2110芯片进行驱动。一个IR2110可以驱动一个半桥,于是采用2片IR2110进行全桥逆变器的驱动。 在该电路中自举二极管和自举电容的需取至关重要,只有选择正确驱动芯 片才能正常工作。开关管IR520充分导通所需要的栅极电荷为30nC,VCC为20V。根据经验公式C > 2Qg/(VCC – 10 - 1.5) 可计算得出自举电容的大小为0.00705。于是选取0.01uF的瓷片电容。 自举二极管应该采用快恢复二极管,本电路中采用自恢复时间为75ns的MUR460作为自举二极管,经仿真测试成功。 5.3 负载电路的设计 为了使得到的正弦波的谐波的含量较少,本电路采用的是变压器作为负载 模块,电路图如下: 图5-2 如上图所示,为了得到较好的匹配效果,将变压器作为负载,然后负载连接在变压器的副边线圈处,这样的目的是即的到滤波的效果,有可以在实际测试的过程之中可以改变线圈的砸数得到更好的匹配。在题目的要求之中为了满足题目的要求的幅值的变化的范围可以在IR2110芯片和全桥芯片的pwm输入端叫上数字电位器分压,使得输出频率范围为20Hz,100Hz,且输出电压有效值为15V,36V可调的单相交流电。 5.4输出过流保护电路: 过流保护电流如图所示,Switch端接单片机,继电器触点串接于主电路中,当单片机 检测 工程第三方检测合同工程防雷检测合同植筋拉拔检测方案传感器技术课后答案检测机构通用要求培训 到主电路电流大于1.5A时,输出高电平使三极管导通,断开继电器常闭触点,切断主电路,起到保护作用,提高系统的安全性。 图5-3 六 软件的设计: 软件的部分主要包括按键的检测,本电路的设计之中主要包括了四个按键,一个按键是对频率的加减标识,另外一个是对幅度的加减的标示,两外两个就是频率/幅度分别的加和,减,除此之外还有过流保护的实时的检测。所以程序的流程图如下: 上电延时,保证各个中断以While(1), 复位 电路正常的及寄存器的按键的检测 启动 初始化 过流保护 程序的大体的流程如下: void main( void ) { // Stop watchdog timer to prevent time out reset WDTCTL = WDTPW + WDTHOLD; /*时钟的寄存器初始化,采用外部的1mhz的晶振*/ ClockInit(); //CLOCK INIT /*时钟io端口的设置,设置p1.1为外部模块的模式,输出为MCLK,在这里由于和lcd现实作为io口模式冲突 所以此函数没用,里面的语句注销了,为空函数,如果要测试Mclk输出时可以再用*/ ClockIoInit(); //MCLK AND ACLK CHECK; /*lcd io端口的设置,设置p1.0 p1.1 p1.2为io模式,而且初始化输出 high level*/ LcdIoInit();// INIT digiter io when it used for beijingqingyun lcm06xk lcd. /*spi 寄存器的初始化,在spi传输时需要*/ SpiInit(); /*spi io端口的设置,分别为:P1.6/SIMO0/,P1.7/SOMI0/,P2.1/UCLK0/,P2.2/STE0*/ SpiIoInit(); /*初始化TIMER_A寄存器*/ Timer_AInit(); /*在这里并没有使用其俘获和比较的方式,所以不需要io端口的初始化*/ // Timer_AIoInit(); /*所有终端的开启和关闭的设置*/ InterruptConfig_on(); // InterruptConfig_off(); //LcdDesplay(CDataRam); /*此函数是实现用北京青云创新科技发展有限公司的LCM06Xk 6 位8 段液晶显示屏 现实你要显示的数据 */ while(1) { if(one_trans==1) InterruptConfig_off(); LcdDesplay(CDataRam); }//lcd desplay } 总结:本论文是关于单项交流电源的设计,在本设计之中运用了spwm调制技术,使得整 个电路的输出频率可调,还有过流保护的功能,但是关于单项交流电技术还有很多方面需要 改进: 1, 对于pwm的调制技术,算法上的研究,可以提高输出的精度 2, 可以讲spwm技术运用到嵌入式的研究领域之中,作为嵌入式的一个模块,可曾可 减。 参考文献: [1]. 程永华,杨成林,徐德鸿;基于DSP变压变频电源设计[J];电力电子技术;2003年05期 [2]. 孙进 苏彦民阎丽 党映华. 中频小功率单相逆变电源的研制电力电子技术 第 36 卷第 6 期2002 年12月 [3]. 谢力华,苏彦民;正弦波逆变电源的数字控制技术[J];电力电子技术;2001年06期 [4] 朱代祥;单相正弦脉宽调制逆变电源的设计[D];四川大学;2004年 [5]. 黄毛毛,张有生;单相逆变器电源的研制[J];科技广场;2005年08期 [6]. 蒋鲁佳;张东来;徐天麒;张健;;低成本逆变器正弦脉宽调制方法研究[J];微计算机信 息;2006年25期 [7]. 董金发;王三武;;基于DSP正弦脉宽调制的单相逆变电源研究[J];通信电源技术;2006年04 期 [8].熊建云主编的《Protel99 SE EDA》
本文档为【单相正弦波变频设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_769254
暂无简介~
格式:doc
大小:212KB
软件:Word
页数:0
分类:互联网
上传时间:2017-10-28
浏览量:13