首页 信号发生器设计制作

信号发生器设计制作

举报
开通vip

信号发生器设计制作信号发生器设计制作 摘 要 摘 要 本文提出了一种以直接数字频率合成(DDS) 技术为基础的信号发生器的设计。采用单片机AT89C51 控制DDS 芯片AD9850 产生频率可调的正弦信号, 并通过低通滤波器得到比较纯正的信号, 最后经过功率放大驱动电路输出目标信号。该信号发生器在测试仪中, 用来产生频率自动可调的1KHZ- 1MHZ 的扫频信号。发生器具有频率精度高, 频率范围宽, 操作快捷方便等优点。 关键字:DDS;AD9850;信号发生器;AT89C51 ? ABSTRACT Abstract ...

信号发生器设计制作
信号发生器 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 制作 摘 要 摘 要 本文提出了一种以直接数字频率合成(DDS) 技术为基础的信号发生器的设计。采用单片机AT89C51 控制DDS 芯片AD9850 产生频率可调的正弦信号, 并通过低通滤波器得到比较纯正的信号, 最后经过功率放大驱动电路输出目标信号。该信号发生器在测试仪中, 用来产生频率自动可调的1KHZ- 1MHZ 的扫频信号。发生器具有频率精度高, 频率范围宽, 操作快捷方便等优点。 关键字:DDS;AD9850;信号发生器;AT89C51 ? ABSTRACT Abstract A kind of intelligent signal generator based on direct digital synthesizer technology is proposed in my paper. MCU AT89C51is adopted to control DDS chip AD9850 to produce the sine signal which frequency may be adjusted. The pure signal can be obtained through the low pass filter, and then target signal is drove by the power amplifier. The signal generator was applied to measure instrument of deformation of power transformer windings for generating the sine signal which frequency during 1KHZ- 1MHZ is able to be adjusted automatically. This generator, has such advantages as high frequency precision, wide frequency range, and quickly facilitate and so on. Key words:DDS;AD9850;signal generator;AT89C51 ? 目 录 目 录 第1章 引言 ..................................................................................................................................... 1 1.1 课 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 的研究背景 ............................................................................................................. 1 1.2 课题的价值和意义 ......................................................................................................... 2 1.3 DDS的工作原理 ............................................................................................................... 2 第2章 信号产生部分的设计...................................................................................................... 5 2.1信号产生方法的选择...................................................................................................... 5 2.2 DDS芯片的选择 ............................................................................................................... 5 2.2.1 AD9850的功能概述 ........................................................................................... 5 2.2.2 AD9850的工作原理 ........................................................................................... 8 第3章 控制单元 .......................................................................................................................... 11 3.1 89C51的内部结构 ........................................................................................................ 11 3.2 89C51单片机的引脚及其功能 ................................................................................. 12 3.3 89C51的串行通信的简单介绍 ................................................................................. 14 第4章 硬件电路的实现和方案的论证 ................................................................................. 16 4.1 硬件的开发平台简介 .................................................................................................. 16 4.2 复位电路的选取 ........................................................................................................... 17 4.3电源部分的选取 ............................................................................................................. 19 4.4 滤波电路部分 ................................................................................................................ 19 4.5 显示部分 ......................................................................................................................... 20 4.6 系统电路的连接和实现 .............................................................................................. 23 第5章 软件部分的实现 ............................................................................................................. 28 5.1 流程的设计..................................................................................................................... 28 5.2 软件的程序编写 ........................................................................................................... 29 结 论 ................................................................................................................................................. 41 参考文献 .......................................................................................................................................... 42 ? 目 录 致 谢 ................................................................................................................................................. 43 外文资料原文 ................................................................................................................................. 44 翻译文稿 .......................................................................................................................................... 47 ? 第1章 引言 第1章 引言 1.1 课题的研究背景 DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 另外,有些DDS芯片还具有调幅、调频和调相等调制功能及片内D/A变换器(如AD7008)。目前,市场上比较多的DDS芯片主要是AD公司的产品。表1-1为AD公司的常用DDS芯片。 表1-1 AD公司常用芯片 型号 最大工作工作电 最大功耗 备注 频率压(V) (mw) (MH) Z AD9832 25 3.3/5 120 小型封装,转型输入,内置D/A转换器。 AD9831 25 3.3/5 120 低电压,经济,内置D/A转换器。 AD9833 25 2.5-5.5 20 10个管脚的uSOIC封装。 AD9834 50 2.5-5.5 25 20个管脚的TSSOP封装并内置比较器 AD9835 50 5 200 经济,小型封装,转型输入,内置D/A转换器。 AD9830 50 5 300 经济,并行输入,内置D/A转换器。 AD9850 125 3.3/5 480 内置比较器和D/A转换器。 AD9853 165 3.3/5 1150 可编程数字QPSK/16-QAM调制器。 1 电子科技大学成都学院本科毕业设计 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 AD9851 180 3/3.3/5 650 内置比较器、D/A转换器和时钟6倍分频器。 AD9852 300 3.3 1200 内置12位D/A转换器、高速比较器和可编程参 考时钟倍频器。 AD9854 300 3.3 1200 内置12位两路正交D/A转换器、高速比较器、 线性调频和可编程参考时钟倍频器。 AD9858 1000 3.3 2000 内置10位D/A转换器、150MH相频检测器和2GHZZ 混频器。 1.2 课题的价值和意义 随着人们生活水平的逐渐提高,信号发生器(又称信号源或振荡器)在生产实践和科学领域有着越来越广泛的应用。其中是在电路实验和设备检测中尤为广泛,例如用在通信、广播、电视系统中,需要射频作载波把音频、视频信号或脉冲信号运载出去,就要有能产生高频的振荡器。在工业、农业、生物医学等领域内,如在高频感应加热、超声诊断、核磁共振成像等,都需要用到不同的振荡器。 从以上我们可以看出,信号发生器无论是在生活,工作,还是在科研方面都有应用。这就要求我们不断去改善我们的技术,做出更好的产品,满足人们更高的要求。在上世纪80年代以前,信号发生器都为模拟方式,之后随着数字技术日益成熟,绝大部分都采用数字电路了。直接数字合成(DDS)技术满足了现代电子系统的许多要求,因而得到了飞速发展。通过这次毕业设计,可以让同学们了解信号发生器的发展方向,更深一步的熟悉了它的设计和制作过程。 1.3 DDS的工作原理 直接数字式频率合成技术原理如图1.1所示,是根据奈奎斯特采样定律,从连续信号的相位出发将一个正弦信号取样、量化、编码,形成一个正弦函数表,存于卫PROM中;合成时,通过改变相位累加器的频率控制字来改变相位增量。相位增量不同,一个正弦周期内的采样点数不同。在时钟频率即采样频率不变的情况下,通过相位增量的改变来实现频率的改变,计算公式为: ? P=ω?t=2πf?t (1.3.1) 经过转换得合成信号的频率为: f=?t/(2π×?t)=( ?P×f)/2π (1.3.2) CLK 2 第1章 引言 其中?P为相位变化,ω为角频率,?t为时钟周期,f为时钟频率。由(l.3.2)CLK 式可知,改变相位值?P,就可改变合成信号的频率f。由于N位相位累加器对2π进行量化,即对2π取2的N次方个点,则?P可取0到2的N次方减1。将其代入公式(1.3.2)得: Nf=(W×f)/2 (1.3.3) FCCLK N其中W为频率控制字,取值为0到2 -1。将这种变化的相位/幅值量化的数字FC 信号通过D/A转换即可得到合成的相位变化的模拟信号频率。 相位累加正弦查询数模转换低通滤波 器 表 器 器 图1-1 DDS技术的原理框图 由上可知,DDS技术可以理解为数字信号处理中信号综合的硬件实现问题,即给定信号幅度、频率、相位参数,产生所需要的信号波形。从系统的角度可以认为是给定输入时钟f和频率控制字W,输出某一对应的正弦信号。 CLKFC 由于DDS采用了不同于传统频率合成方法的全数字结构,所以DDS技术具有传统信号合成方法所不具备的许多特点: (1)频率分辨率极高 若时钟fs的频率不变,DDS的频率分辨率就由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS的分辨率在1Hz数量级,许多小于1MHz甚至更小。 (2)频率切换快 DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因为频率转换的时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。再加上低通滤波器 3 电子科技大学成都学院本科毕业设计论文 为主的器件响应时间很短,使得高速DDS系统的频率切换时间可达到纳秒级。 (3)输出相对带宽较宽 输出频率带宽为50%f(理论值),考虑到低通滤波器的特性和设计难度以及CLK 对输出信号杂散的抑制,DDS实际输出频率范围可达:0-40% f。 CLK (4)频率变化时相位连续 改变DDS输出频率,实质就是改变相位增长率。而相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。 (5)输出信号的灵活性 在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制AM,即可方便灵活地实现调频,调相和调幅功能,产生FSK、PKS、ASK和MSK等信号。改变ROM中存储的数据,可以实现任意波形输出。 (6)杂散抑制差 由于DDS采用全数字结构,不可避免地引入了杂散。其来源主要有三个:相位累加器相位舍位造成的杂散;幅度量化误差(由存储器有限字长引起)造成的杂散和DAC非想特性造成的杂散。 (7)输出带宽较窄 由于DDS内部DAC和波形存储器的工作速度限制,使得DDS输出的最高频率有限,不能直接运用于微波频段。 4 第2章 信号产生部分的设计 第2章 信号产生部分的设计 2.1信号产生方法的选择 信号发生器的实现方法有以下几种: (1)用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。 (2)可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函数信号发生器IC产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。 (3)利用专用直接数字合成DDS芯片的函数发生器:能产生任意波形并达到很高的频率。而且它还有其它很多优点如下: ◎ 频率分辨率高,输出频点多,可达N个频点(N为相位累加器位数); ◎ 频率切换速度快,可达us量级; ◎ 频率切换时相位连续; ◎ 可以输出宽带正交信号; ◎ 输出相位噪声低,对参考频率源的相位噪声有改善作用; ◎ 可以产生任意波形; ◎ 全数字化实现,便于集成,体积小,重量轻。 综上所述这里选用第三种设计方法。 2.2 DDS芯片的选择 DDS芯片的选取我们可以参考表1-1,结合该表和这些芯片的市场价格本设计选取AD9850芯片。 2.2.1 AD9850的功能概述 随着数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率 5 电子科技大学成都学院本科毕业设计论文 的技术,即直接数字频率合成(DDS)技术异军突起。美国AD公司推出的高集成度频率合成器AD9850便是采用DDS技术的典型产品之一。 AD9850采用先地蝗CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为-40,80?,采用28脚SSOP表面封装形式,它的引脚封装图如下: 图2-1 AD9850芯片的引脚图 AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成,N一般为24,32。每来一个外部参考时钟,相位寄存器便以步长M递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0?,360?范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模拟量。 其中相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相位地正弦查询表每消费品一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。输出的正弦波周期To=Tc2N/M,频率fout=Mfc/2N,Tc、fc分别为外部参考时钟的周期和频率。 AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为ISET=32(1.148V/RSET), 6 第2章 信号产生部分的设计 RSET的典型值是3.9kΩ。将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。图2-2所示为AD9850的主要组成: 相 位DAC LPF 控制 字 相位 微累加? 正弦比控器 查询较制表 器 器 频率 ? 控制相位 字 寄存 器 图2-2AD9850内部结构 此外,AD9850在接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下,32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180?、90?、45?、22.5?、11.25?或这些值的组合进行调整。 它的功能如下: AD9850是高稳定度的直接数字频率合成器件,内部包含有输入寄存器、数据寄存器、数字合成器(DDS)、10位高速D/A转换器和高速比较器。AD9850高速的 )核心根据设定的32位频率控制字和5位相移控制字,可直接数字合成器(DDS 产生0.029Hz到62.5MHz的正弦波信号和 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的方波信号。该器件提供了并行和串行控制字输入,可通过并行接口或串行接口实现控制字的定入,以改变其输出频率和相位。其主要特性如下: (1)单电源供电,+5V或+3.3V; (2)功耗低,380mW(=5V);155mW(3.3V); (3)具有电源关断功能; 7 电子科技大学成都学院本科毕业设计论文 (4)工作温度:-40?-+85?。 它的各个引脚的功能见表2-1: 表2-1 AD9850的各个引脚功能 管脚号 名称 说明 1-4,25-28 D0-D7 控制字并行输入,其中D7可做串行输入 5,24 DGND 数字地 6,23 DVDD 为内部数字电路提供电源 7 W_CLK 控制字加载时钟,用于加载并行/串行的频率/相位控 制字 8 FQ_UD 频率更新控制,在上升沿依寄存器更新频率 9 CLKIN 外部晶体的输入端,最大值为125MHz 10,19 AGND 模拟地 11,18 AVDD 为内部模拟电路提供电源 12 Rset 外接电阻决定了器件输出端的电源大小 13,14 QOUT,QOUTB 内部比较器输出端 15,16 VINN,VINP 内部比较器输入端 17 DACBL 内部DAC外接参考电压,可悬空 20 IOUTB IOUT的互补输出 21 IOUT 正弦电流的输出端,一般用电阻接地以转换正弦电压 输出 2.2.2 AD9850的工作原理 (1)控制字格式及写入时序 AD9850有40位控制字,32位用于频率控制,5位用于相位控制。1位用于电源休眠(Power down)控制,2位用于选择工作方式。这40位控制字可通过并行方式或串行方式输入到AD9850,图2-3是控制字并行输入的控制时序图,在并行 8 第2章 信号产生部分的设计 装入方式中,通过8位总线A0„D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。在串行输入方式,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个FQ-UD脉冲即可更新输出频率和相位。图2-4是相应的控制字串行输入的控制时序图. 图2-3并行控制字装入时序 图2-4串行控制字装入时序 AD9850的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。AD9850的参考时钟频率一般远高于单片机的时钟频率,因此AD9850的复位(RESET)端可与单片机的复位端直接相连。需要注意的是:用于选择工作方式的两个控制位,无论并行还是串行最好都写成00,并行时的10、01和串行时 9 电子科技大学成都学院本科毕业设计论文 的10、01、11都是工厂测试用的保留控制字,不慎使用可能导致难以预料的后果。 (2)频率输出原理 AD9850的直接数字合成技术是基于数字分频原理实现频率合成的。器件内部有一个增量可调的累加器,每接收到一个输入脉冲,累加器就增加所设定的增量(由写入的32位频率控制字决定),当累加器溢出时,就输出一临界值,AD9850用一种算法逻辑把累加器输出值转换为接近正弦的量化值,这种算法逻辑实际上就是由高度集成化的存储器查表技术和数字信号处理(DSP)技术来完成的。随后AD9850将量化值送内部的D/A转换器输出正弦波形,若再辅以外部电路(低通滤波)送内部比较器,即可输出标准的方波信号。其输出频率ƒout由输入参考时钟和32位频率控制字决定。 由于AD9850是由10位D/A转换器输出正弦波信号,因此其输出频率最大值不能超过参考输入频率的1/2。当作为时钟源时,考虑到衰减问题,其输出频率的最佳值限制在参考输入频率的33%以下。器件内部设有最小时钟门限,当输入频率低于1MHz时,芯片将自动实现电源判断。 10 第3章 控制单元 第3章 控制单元 这里选取89C51单片机作控制。89C51是一种低功耗/低电压、高性能的8位单片机。它采用了CMOS工艺和高密度非易失性存储器(NURAM)技术,而且其输出引脚和指令系统都与MCS-51兼容;片内的Flash ROM允许在系统内改编程序或用常规的非易失性存储器编程器来编程。因此 89C51是一种功能强、灵活性高,且价格合理的单片机,可方便的应用于各种控制领域。 3.1 89C51的内部结构 89C51芯片由运算器、控制器、存储器(RAM及ROM)和I/O接口组成。各个部分功能简述如下: 1. 中央处理单元 ?运算器 运算器包括一个可进行8位算术逻辑和逻辑运算的单元ALU,8位的累加器ACC,寄存器B和程序寄存器PSW等。 ALU:可对4位,8位,16位数据进行操作,能做加、减、乘、除、加1、减1、BCD数十进制调整及比较器等算数运算和“与”、“或”、“异或”、“求补”及“循环移位”等逻辑操作。 ACC:累加器ACC经常作为一个运算数经暂存器2进入ALU的输入端,与另一个来自暂存器1的运算数进行运算,运算结果又送回ACC。除此之外,他还经常作为数据传送的中转站。 PSW:程序状态字寄存器,8位用于指示指令执行后的状态信息,相当于一般处理器的标志寄存器。PSW中各位状态供程序查询和判别用。 B:8位寄存器,在乘、除运算时,B寄存器用来存放一个操作数,也用来存放运算后的一部分结果;若不做乘、除运算,则可作为通用寄存器使用。 ?控制器 控制器包括程序计数器PC、指令寄存器IR、指令译码器ID、振荡器及定时 电路等。 11 电子科技大学成都学院本科毕业设计论文 程序额计数器PC:由两个8位的计数器PCH及PCL组成,共16位。PC实际上是程序的字节地址计数器,PC中的内容是将要执行的下一条指令的地址。 指令寄存器IR和指令译码器ID:由PC中的内容指定Flash ROM的地址,取出来的指令经指令寄存器IR送至指令译码器ID,由ID对指令译码并送PLA产生一定序列的控制信号,以执行指令所规定的操作。 振荡器及定时电路:89C51单片机片内有振荡电路,只需要外接石英晶体和频率微调电容,其频率为0-24MHz。这个脉冲就作为89C51工作的基本节拍了,即最小的单位。 存储器 2. ?程序存储器 89C51片内程序存储器容量为4KB,地址从0000H开始,用于存放程序和表格常数。 ?数据存储器 89C51片内数据存储器容量为256字节,用于存放运算的中间结果、数据暂 存以及数据缓冲等。 3.I/O接口 89C51有4个与外部交换信息的8个并行接口,即P0-P3.它们都是准双向端口,每个端口各有8条I/O线,均可输入/输出。P0-P3口4个锁存器同RAM统一编址,可以把I/O口当作一般特殊功能寄存器(SFR)来寻址。 除4个8位并行口外,89C51还有一个可编程的全双工串行口(UART),利用P3.0(RXD)和P3.1(TXD),可实现与外界的串行通信。 3.2 89C51单片机的引脚及其功能 Vcc:电源端,为+5V。 Vss:接地端。 XTAL1: 接外部晶体和微调电容的另一端。在片内,它是振荡电路反向放大器 12 第3章 控制单元 的输入端。在采用外部时钟时,该引脚输入外部时钟脉冲。若采用外部时钟电路时,该引脚悬空。 XTAL2: 接外部晶体和微调电容的一端。在89C51片内它是振荡电路反向放大 器的输出端,振荡电路的频率就是晶体的固有频率。 RST: 复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE和/PROG: 当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR(8EH)地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。 /PSEN: 外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。 /EA和VPP: 当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。 其中P3口的引脚有复用功能如下: 表3-1 P3.0口的各引脚功能 端口引脚 复用功能 P3.0 RXD(串行输入口) 13 电子科技大学成都学院本科毕业设计论文 P3.1 TXD(串行输出口) P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) 3.3 89C51的串行通信的简单介绍 通信方式有两种:串行通信和并行通信。串行通信指数据是一位一位按顺序传送的通信方式。它的突出优点是只需一对传输线(利用电话线就可作为传输线),这样就大大降低了传送成本,特别适用于远距离通信;其缺点是传送速度较低。假设并行传送N位数据所需时间位T,那么串行传送的时间至少为NT,实际上总是大于NT的。 并行通信是指数据的各位同时进行传送(发送或接收)的通信方式。其优点是传送速度快;缺点是数据有多少位,就需要多少根传送线。 串行通信的传送方向通常有三种: 单向(或单工)配置,只允许数据向一个方向传送; 半双向(或半双工)配置,允许数据向两个方向中的任一方向传送,但每次只能有一个站点发送; 全双向(全双工)配置,允许同时双向传送数据,因此,全双工配置是一对单向配置,它要求两端的通信设备都具有完整和独立的发送和接受能力。 89C51有一个可编程的全双工串行通信接口,它可用作UART,也可用作同步 移位寄存器。它通过引脚RXD和TXD与外界进行通信。它的工作方式如下: 表3-2 串口工作方式 SM0 SM1 工 作 方 式 说 明 波 特 率 0 0 方 式0 同步移位寄存器 f/12 OSC 14 第3章 控制单元 0 1 方 式1 10位异步收发 由定时器控制 1 0 方 式2 11位异步收发 f/32或f/64 OSCOSC1 1 方 3式 11位异步收发 由定时器控制 ◎方式0:移位寄存器输入/输出方式。串行数据通过RXD线输入或输出,而TXD线专用于输出时钟脉冲给外部位移存储器。方式0可用来同步输出或接收8位数据(最低位首先输出),波特率固定为fOSC/12.其中,fOSC位单片机的振荡器频率。 方式1:10位异步接受/发送方式。一帧数据包括1位起始位(0),8位数◎ 据位和1位停止位(1)串行接口电路在发送时能自动插入起始位和停止位;在接受时,停止位进日语特殊功能寄存器SCON的RB8位。方式1的传送波特率是可变的,可通过改变内部定时器的定时值来改变波特率。 ◎方式2:11位异步接收/发送方式。除了1位起始位,8位数据位和1位停止位之外,还可以插入第9位数据位。 ◎方式3:同方式2,只是波特率可变。 15 电子科技大学成都学院本科毕业设计论文 第4章 硬件电路的实现和方案的论证 4.1 硬件的开发平台简介 这次毕业设计中电原理图,PCB等都是用Protel99SE软件为开发平台来实现的。Protel99SE是应用于Windows9X/2000/NT操作系统下的EDA设计软件,采用设计库管理模式,可以进行联网设计,具有很强的数据交换能力和开放性及3D模拟功能,是一个32位的设计软件,可以完成电路原理图设计,印制电路板设计和可编程逻辑器件设计等工作,可以设计32个信号层,16个电源--地层和16个机加工层。 一、Protel 99 SE的系统组成 按照系统功能来划分,Protel99se主要包含以下两个部分和6个功能模块。 1、电路工程设计部分 (1)电路原理设计部分(Advanced Schematic 99):电路原理图设计部分包括电路图编辑器(简称SCH编辑器)、电路图零件库编辑器(简称Schlib编辑器)和各种文本编辑器。本系统的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表。 (2)印刷电路板设计系统(Advanced PCB 99):印刷电路板设计系统包括印刷电路板编辑器(简称PCB编辑器)、零件封装编辑器(简称PCBLib编辑器)和电路板组件管理器。本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零件封装;管理电路板组件。 (3)自动布线系统(Advanced Route 99):本系统包含一个基于形状(Shape-based)的无栅格自动布线器,用于印刷电路板的自动布线,以实现PCB设计的自动化。 2、电路仿真与PLD部分 (1)电路模拟仿真系统(Advanced SIM 99):电路模拟仿真系统包含一个数字/模拟信号仿真器,可提供连续的数字信号和模拟信号,以便对电路原理图进行信号模拟仿真,从而验证其正确性和可行性。 (2)可编程逻辑设计系统(Advanced PLD 99):可编程逻辑设计系统包含一 16 第4章 硬件电路的实现和方案的论证 个有语法功能的文本编辑器和一个波形编辑器(Waveform)。本系统的主要功能是;对逻辑电路进行分析、综合;观察信号的波形。利用PLD系统可以最大限度的精简逻辑部件,使数字电路设计达到最简化。 (3)高级信号完整性分析系统(Advanced Integrity 99):信号完整性分析系统提供了一个精确的信号完整性模拟器,可用来分析PCB设计、检查电路设计参数、实验超调量、阻抗和信号谐波要求等。 二、Protel 99 SE的功能特性: 1、开放式集成化的设计管理体系 2、超强功能的、修改与编辑功能 3、强大的设计自动化功能 4.2 复位电路的选取 复位操作有上电复位和按键手动复位两种方式。 1. 上电自动复位 上电自动复位是再加电瞬间电容通过充电来实现的,其电路如图2-5所示。 在通电瞬间,电容C通过电阻R充电,RST端出现正脉冲,用来复位。只要电源的上升时间不超过1ms,就可以实现自动上电复位,其实就是完成了系统的复位初始化。关于参数的的选定,需要保证复位高电平的持续时间大于2个机器周期。这就要看石英晶体的选取了,当晶体的频率为6MH时,可选取C=22uF,R=1kΩ; Z 当其为12MH时,可选C=1uF,R=8.2kΩ。 Z 如果上述复位电路不仅要使单片机复位,还要使外围电路也同时复位,那么我就要把电路中的电容和电阻做下子调整。 17 电子科技大学成都学院本科毕业设计论文 Vcc Vcc RST/Vpd Vss 8051 图4-1 上电复位 2. 手动复位 所谓手动复位,是指通过按钮开关,使单片机进入复位状态。系统上电运行 后,若需要复位就可以通过手动操作完成。但是在实际中,一般采用手动复位和 自动复位组合,其电路如图2-6. Vcc Vcc RST/Vpd Vss 8051 图4-2 按键电平复位 18 第4章 硬件电路的实现和方案的论证 这次设计中我们出于方便,选取了第一种方案。 4.3电源部分的选取 因为单片机部分的电压要求,所以本设计中稳压芯片选取的是LM7805,它是常用的三端稳压器,一般采用的是TO-220封装,能提供直流5V的输出电压,应用范围广,内含过流和过载保护电路。带散热片时能持续提供1A的电流。它仅有输入端、输出端及公共端3个引脚,由于所需的外接元件少,使用方便可靠,因此可做稳压电源。 电源部分的电路图如下所示: 图4-3 供电电路 4.4 滤波电路部分 由于AD9850 的输出是采样信号, 因此它的输出频谱遵循奈奎斯特采样定理, 谱内既含有基波信号也含有高频谐波信号。另外, DDS 采用全数字技术, 因而不可避免会存在杂散干扰, 直接影响输出信号的质量。为了滤出AD9850 每次转换出现的所有杂波, 我们在后级加上了一个5 阶的低通滤波器, 使信号波形纯洁, 失真度大大减少, 其电路图如下: 19 电子科技大学成都学院本科毕业设计论文 图4-4 低通滤波电路 4.5 显示部分 在本设计中选用LCD12864作为显示,下面是关于它的一些介绍: LCD12864是一种具有8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示8×4行16×16点阵的汉字. 也可完成图形显示.低电压低功耗是其又一显著特点。其内部组成框图如1-10。 20 第4章 硬件电路的实现和方案的论证 图4-5 LCD12864内部结构框图 下面是它的模块接口说明: 1. 串口方式: 表4-1 串口接脚说明 管脚号 名称 LEVEL 功能 1 Vss 0V 电源地 2 Vdd +5V 电源正 3 Vo - 对比度调整 4 CS H/L 模块片选端,高电平有效 5 SID H/L 串行数据输入端 6 CLK H/L 串行同步时钟:上升沿读取SID数据 15 PSB L L:串行方式(见注释1) 17 /RESET H/L 复位端,低电平有效(见注释2) 21 电子科技大学成都学院本科毕业设计论文 19 A Vdd 背光源电压+5V(见注释3) 20 K Vss 背光源负端0V(见注释3) *注释1:如在实际应用中仅使用串口通讯模式,可将PSB接固定低电平,也可以将模块上的J8和“GND”用焊锡短接。 *注释2:模块内部接有上电复位电路,因此在不需要经常复位的场合可将该端悬空。 *注释3:如背光和模块共用一个电源,可以将模块上的JA、JK用焊锡短接。 2.并口方式: 表4-2 并口引脚说明 管脚号 管脚名称 电平 管脚功能描述 1 VSS 0V 电源地 2 VCC 3.0+5V 电源正 3 V0 - 对比度(亮度)调整 4 RS=“H”,表示DB7——DB0为显示数据 RS(CS) H/L RS=“L”,表示DB7——DB0为显示指令数据 5 R/W=“H”,E=“H”,数据被读到DB7——DB0 R/W(SID) H/L R/W=“L”,E=“H?L”, DB7——DB0的数据被写到IR 或DR 6 E(SCLK) H/L 使能信号 7 DB0 H/L 三态数据线 8 DB1 H/L 三态数据线 9 DB2 H/L 三态数据线 10 DB3 H/L 三态数据线 11 DB4 H/L 三态数据线 22 第4章 硬件电路的实现和方案的论证 12 DB5 H/L 三态数据线 13 DB6 H/L 三态数据线 14 DB7 H/L 三态数据线 15 PSB H/L H:8位或4位并口方式,L:串口方式(见注释1) 16 NC - 空脚 17 /RESET H/L 复位端,低电平有效(见注释2) 18 VOUT - LCD驱动电压输出端 19 A VDD 背光源正端(+5V)(见注释3) 20 K VSS 背光源负端(见注释3) *注释1:如在实际应用中仅使用并口通讯模式,可将PSB接固定高电平,也可将模块上的J8和“VCC”用焊锡短接。 *注释2:模块内部接有上电复位电路,因此在不需要经常复位的场合可将该端悬空。 *注释3:如背光和模块共用一个电源,可以将模块上的JA、JK用焊锡短接。 4.6 系统电路的连接和实现 单片机部分采用最小系统作为控制,电路易于实现,且经济。控制部分的电路原理图如下: 23 电子科技大学成都学院本科毕业设计论文 图4-6 单片机部分的电路原理图 单片机和LCD12864的连接方式选取的也是串口方式,电路连接见图4-7. 24 第4章 硬件电路的实现和方案的论证 图4-7 89C51和LCD12864的连接图 信号产生电路部分电路原理图如下: 图4-8 信号产生部分的电路原理图 前面已采用89C51和AD9850串口连接方式。串行输入方式,W-CLK 上升沿把25引脚的一位数据串行移入,当移动40位后, 用一个FQ-UD 脉冲即可更新输出频率和相位。这种连接方式很简单,就是把D7接到P1.5,W-CLK连接到P1.6,FQ-DP接到P1.7。 所以系统的整体电路如下图: 25 电子科技大学成都学院本科毕业设计论文 图4-9 整体部分电路原理图 AD9850部分的PCB如下: 图4-10 信号产生单元的PCB 26 第4章 硬件电路的实现和方案的论证 本系统中89C51与AD9850和LCD12864的连接都是采用的串口形式,虽然数据的传输比较慢,但是节省了资源,即引脚使用比较少,连接电路比较方便。 27 电子科技大学成都学院本科毕业设计论文 第5章 软件部分的实现 5.1 流程的设计 要求先对系统初始化,然后对AD9850进行写入控制字。一旦设置后AD9850 的状态就不在发生变化,直到重新进行设置。 开始 初始化 有无按键按下 根据按键执行子程序 显示输出频率和幅度值及波形 写控制字到AD9850 执行 图5-1 软件流程图 28 第5章 软件部分的实现 5.2 软件的程序编写 软件部分的主程序如下: 主要源程序如下: 端口定义: CS BIT P1.0;液晶使能 0禁 SID BIT P1.1;数据输入口 SCLK BIT P1.2;液晶串行操作时钟口 LB BIT P1.3;背光灯 0亮 KW1 BIT P3.5;功能、确认键 KW2 BIT P3.4;修改、返回键 KW3 BIT P3.3;加键 KW4 BIT P3.2;减键 LED1 BIT P2.0;点频 LED2 BIT P2.1;扫频 LED3 BIT P2.2;跳频 LED4 BIT P2.3;相移 DATAS BIT P1.5;AD9850 数据口 W_CLK BIT P1.6;AD9850 移位时钟 FQ_UD BIT P1.7;AD9850 使能 主程序入口: START: MOV R1,#20H MOV R7,#60H CLR A 29 电子科技大学成都学院本科毕业设计论文 CLEAR: MOV @R1,A INC R1 DJNZ R7,CLEAR MOV P0,#0FFH MOV P1,#0FFH MOV P2,#0FFH MOV P3,#0FFH CLR CS MOV IT03,#06H MOV 6FH,#0AH LCALL SETUP ;LCD初始化 LCALL BEGINLCD ;开机界面 CLR W_CLK ;AD9850初始化 CLR FQ_UD ; LCALL SETUP_AD9850 ; MOV CON0,#96H ;默认频率值 MOV CON1,#4FH ;125MHZ,1000HZ,863CH MOV CON2,#01H ;50MHZ,1000HZ,014F96H MOV CON3,#00H ; MOV TMOD,#01H ;计数器0 方式1 MOV TL0,#0B0H ;50ms MOV TH0,#3CH ;定时 ADDPLAY: LCALL SEND9850 ;写入AD9850 LCALL CON_DIS ;控制字转频率字 30 第5章 软件部分的实现 LCALL DISPLCD ;频率显示 LCALL DELAY10ms SETB LB MAIN: CLR LED1 JNB KW1,GNCD0 JNB KW3,CSDPADD0 JNB KW4,CSDPSUBB0 LJMP MAIN GNCD0: LJMP GNCD CSDPADD0: LCALL CSDPADD LJMP MAIN CSDPSUBB0: LCALL CSDPSUBB LJMP MAIN AD9850控制程序: 初始化DDS SETUP_AD9850:CLR W_CLK CLR FQ_UD SETB W_CLK CLR W_CLK SETB FQ_UD CLR FQ_UD RET 发送数据到AD9850 31 电子科技大学成都学院本科毕业设计论文 SEND9850: CLR FQ_UD MOV A,CON0 LCALL SEND_8DATA MOV A,CON1 LCALL SEND_8DATA A,CON2 MOV LCALL SEND_8DATA MOV A,CON3 LCALL SEND_8DATA MOV A,#000B LCALL SEND_8DATA SETB FQ_UD CLR FQ_UD RET 发送数据到AD9850(相移) SEND9850PH: CLR FQ_UD MOV A,CON0 LCALL SEND_8DATA MOV A,CON1 LCALL SEND_8DATA MOV A,CON2 LCALL SEND_8DATA MOV A,CON3 LCALL SEND_8DATA 32 第5章 软件部分的实现 MOV A,PHASE RLC A RLC A RLC A ANL A,#0F8H LCALL SEND_8DATA SETB FQ_UD CLR FQ_UD RET SEND_8DATA: MOV C,ACC.0 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.1 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.2 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.3 MOV DATAS,C 33 电子科技大学成都学院本科毕业设计论文 SETB W_CLK CLR W_CLK MOV C,ACC.4 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.5 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.6 MOV DATAS,C SETB W_CLK CLR W_CLK MOV C,ACC.7 MOV DATAS,C SETB W_CLK CLR W_CLK RET 液晶显示程序: 开机界面: BEGINLCD: CLR LB MOV A,#80H LCALL WRITE_COM 34 第5章 软件部分的实现 MOV DPTR,#CHINESE1 LCALL WRITE_HZ7 MOV A,#90H LCALL WRITE_COM MOV DPTR,#CHINESE2 LCALL WRITE_HZ7 LCALL DELAY1s LCALL DELAY1s LCALL DELAY1s MOV A,#80H LCALL WRITE_COM MOV DPTR,#CHINESE3 LCALL WRITE_HZ7 MOV A,#90H LCALL WRITE_COM MOV DPTR,#CHINESE4 LCALL WRITE_HZ7 LCALL DELAY1s LCALL DELAY1s LCALL DELAY1s MOV A,#80H LCALL WRITE_COM MOV DPTR,#CHINESE4 LCALL WRITE_HZ7 35 电子科技大学成都学院本科毕业设计论文 MOV A,#90H LCALL WRITE_COM MOV DPTR,#CHINESE5 LCALL WRITE_HZ7 LCALL DELAY1s LCALL DELAY1s LCALL DELAY1s SINF: MOV A,#80H LCALL WRITE_COM MOV DPTR,#CHINESE6 LCALL WRITE_HZ7 SETB LB RET LCD2: MOV 70H,DIS7 MOV 71H,DIS6 MOV 72H,DIS5 MOV 73H,DIS4 MOV 74H,DIS3 MOV 75H,#0BH MOV 76H,DIS2 MOV 77H,DIS1 MOV 78H,DIS0 MOV A,GNCDT 36 第5章 软件部分的实现 CJNE A,#06H,JGDWBXS2 LJMP JGDWXS2 JGDWBXS2: MOV A,#95H LCALL WRITE_COM MOV DPTR,#CHINESE8 LCALL WRITE_HZ2 LJMP DISPLAY JGDWXS2: MOV A,#95H LCALL WRITE_COM MOV DPTR,#CHINESE11 LCALL WRITE_HZ2 LJMP DISPLAY LCD3: MOV 70H,DIS7 MOV 71H,DIS6 MOV 72H,#0BH MOV 73H,DIS5 MOV 74H,DIS4 MOV 75H,DIS3 MOV 76H,DIS2 MOV 77H,DIS1 MOV 78H,DIS0 MOV A,#95H 37 电子科技大学成都学院本科毕业设计论文 LCALL WRITE_COM MOV DPTR,#CHINESE9 LCALL WRITE_HZ2 LJMP DISPLAY DISPLAY: MOV A,#90H LCALL WRITE_COM MOV R1,#6FH MOV DPTR,#TABLE MOV R2,#0AH MOV A,#00H MOVCLOP: MOV A,@R1 MOVC A,@A+DPTR LCALL WRITE_DAT INC R1 DJNZ R2,MOVCLOP LJMP RESETDIS RESETDIS: MOV A,DIS7 CJNE A,#0AH,RESETDIS1 MOV DIS7,#00H RESETDIS1: MOV A,DIS6 CJNE A,#0AH,RESETDIS2 MOV DIS6,#00H RESETDIS2: MOV A,DIS5 CJNE A,#0AH,RESETDIS3 38 第5章 软件部分的实现 MOV DIS5,#00H RESETDIS3: MOV A,DIS4 CJNE A,#0AH,RESETDIS4 MOV DIS4,#00H RESETDIS4: MOV A,DIS3 CJNE A,#0AH,RESETDIS5 MOV DIS3,#00H RESETDIS5: MOV A,DIS2 CJNE A,#0AH,RESETDIS6 MOV DIS2,#00H RESETDIS6: MOV A,DIS1 CJNE A,#0AH,RESETDIS7 MOV DIS1,#00H RESETDIS7: RET 液晶初始化程序: SETUP: MOV A,#01H LCALL WRITE_COM MOV A,#00110000B LCALL WRITE_COM MOV A,#00000010B LCALL WRITE_COM MOV A,#00000100B LCALL WRITE_COM MOV A,#00001100B 39 电子科技大学成都学院本科毕业设计论文 LCALL WRITE_COM MOV A,#00000001B LCALL WRITE_COM MOV A,#10000000B LCALL WRITE_COM LCALL DELAY1s RET 40 结 论 结 论 信号发生器是一种常用的电子仪器,在做实验和调试以及系统测试中都必不可少,而传统的信号发生器的性能是有限的,满足不了有些用户的要求。近几年超高速数字电路的发展以及对DDS的深入研究,DDS的很多性能已达到相当的水平,现在已广泛应用于通信、导航、电子对抗和许多现代化得仪器仪表工业等领域。 通过本次毕业设计,完成了波形发生器的相关软硬件设计,主要内容如下: ?学会了使用Protel软件绘制原理图和PCB图。 ?熟悉了89C51和AD9850的基本原理及应用。 ?锻炼了自己的实际动手能力,获得了一定经验。 ?理论上会输出1KHz-1MHz的正弦波,但最后测试时未能输出完整的波形,可能原因由两个,一是参数的选取可能有问题;二是因为AD9850的Iout引脚输出信号微弱,应该在后面加一个放大电路,而本设计中忽略了。 虽然本设计的任务达到,鉴于作者本人学识浅陋,论文中难免有不到之处,诚请读者批评指正,并谅解。 41 电子科技大学成都学院本科毕业设计论文 参考文献 [1] 李朝青 单片机原理及接口技术 北京航空航天大学出版社 2005.10 [2] 潘永雄 新编单片机原理与应用 西安电子科技大学出版社 [3] 李季 信号发生器发展浅析 电子产品世界 2002,10 [4] 崔建鹏,赵敏,江帆 采用DDS技术实现的虚拟任意波形发生器 计算机测量与控制 2003.11 [5] 纪宗南 单片机外围器件实用 手册 华为质量管理手册 下载焊接手册下载团建手册下载团建手册下载ld手册下载 北京航空航天大学出版社 1998 [6] 王永,刘志强,刘硕 DDS在任意波形发生器中的应用 2004 [7] 公茂法,马宝甫,孙晨 单片机人机接口实例集 北京航空航天大学出版社 1998 [8] 韩广兴等编著 电子元器件与实用电路基础 电子工业出版社 2005 [9] 张厥胜,曹丽娜 锁相与频率合成技术 电子科技大学出版社 1995 [10] 夏路易,石宗义 Protel 99SE设计教程 北京希望电子出版社 2002 [11] 殷志华,郑海,杨浩 单片机与PC机串口通信的实现 中国数据通信 2004 [12] A Technical Tutorial on Digital Singal Synthesis. Analog Devices Inc..1999 [13] 迟中君,徐云,常飞 频率合成技术发展概述 现代科学仪器 2006 [14] AD9850 Datasheet,Analog Devices Inc.,1999 [15] 王建明 基于DDS技术的多波形信号源设计 南京理工大学 2005 42 致 谢 致 谢 感谢毕业设计指导教师周密老师在本人毕业设计期间给予的悉心指导和关心。导师坚实、深厚的专业理论知识和严谨求实、勤奋敬业的工作作风给我留下了深刻的印象,将使我受益终身。 感谢在我的学习生涯中对我辛勤培育的每一位老师,没有你们的辛勤付出,就没有我今天的一切;感谢一起做毕业设计的所有同学给予的帮助、关心、支持;感谢我们专业以及其他专业的同学和朋友们的帮助和支持;感谢所有认识我的、帮助过我的、关心我的人,祝你们一切永远顺利~ 感谢生我养我的父母,给予我足够的物质支持,让我顺利地完成了大学四年的学业。 最后,我要向在百忙之中抽出时间来对本文进行审阅、评议和参加本人论文答辩的各位老师表示感谢~ 43 电子科技大学成都学院本科毕业设计论文 外文资料原文 In many kinds of equipment, it is important to produce and readily control accurate waveforms of various frequencies and profiles. In such applications, the ability to generate an adjustable waveform conveniently and cost-effectively is a key design consideration. Various approaches have been used, but the most flexible one is direct digital synthesis (DDS). A DDS chip, or a direct digital synthesizer, produces an analog waveform, usually a sine wave, but triangular and square waves are inherent, by generating a time-varying signal in digital form and then performing a digital-to-analog (D/A) conversion. DDS devices are primarily digital, so they can offer fast switching between output frequencies, fine frequency resolution, and operation over a broad spectrum of frequencies. With advances in design and process technology, today's DDS devices are very compact and draw little power. Currently available DDS devices can generate frequencies from well below 1Hz up to 400MHz (based on a 1-GHz clock), with time resolution to 48 bits. The low cost of devices using new process technologies, combined with DDS's inherently high performance and the ability to digitally (re)program the output waveform, make the DDS approach attractive compared to more discrete and less flexible traditional solutions. Multi-channel DDS devices allow independent programming of up to four inherently synchronized outputs in space-constrained systems. DDS Applications At present, the two principal forms of applications using DDS are waveform generation in communications, and signal analysis. Other Typical uses include electronic article surveillance (EAS) and maritime applications in sonobuoy systems. Important applications exist in communications systems that require agile frequency sources with low phase noise and spurs, combining high 44 外文资料原文 frequency tuning resolution and spectral performance. In the signal analysis category, many industrial and biomedical designs use DDS to digitally generate programmable waveforms with easily adjustable frequency and phase, without the need to change any external components. Simple frequency adjustments can be used to locate resonances or compensate for temperature drifts. A DDS can be used as a flexible frequency stimulus in measuring sensor impedance, or to generate pulse-width-modulated signals for micro-actuators, or to examine attenuation in LANs or telephone cables. Signal-Generator Analysis Many applications in electronics today involve gathering and decoding data for digital signal processing, analog measurements, fiber optics, and high-frequency communications. This class of application involves stimulating a circuit or system with frequencies of known amplitude and phase, and analyzing the characteristics of the response to provide key system information. The network under analysis (Fig 1) can be anything from a length of cable to a measurement/sensor system. The typical requirement is to compare the response signal(s) to the input signal in phase, frequency, and amplitude. Where a train of frequencies is needed for excitation, a DDS chip is just right, since the stimulus frequency, phase, and amplitude can be software controlled with very tight resolution. Typical frequencies used in these applications tend to be from 0 to 200kHz, at the low-end of the DDS frequency-handling range. For some applications a burst of one known frequency provides sufficient information; but for most it is required to sweep a range of known frequencies across the network and analyze the phase/amplitude data for multiple frequencies. A single DDS chip provides the entire frequency- generation function, giving the user the flexibility in digitally controlling the frequencies required for network control. With no external 45 电子科技大学成都学院本科毕业设计论文 components required, the user just needs to write to the DDS through its SPI interface. The output phase of the DDS is typically controllable with 10- to 14-bit resolution, giving programmable phase resolution to <0.1 degree. 46 翻译文稿 翻译文稿 在很多的设备中 ,产生及控制的各种各样精确频率的波形和曲线是很重要的。这样,要产生一种方便调节的波形,是一种关键的设计思路。现实中有各种各样的方法,但最灵活的一种是直接数字合成(DDS)。一个DDS芯片,产生一个模拟信号波形,通常是一个正弦波形,而三角波和方波是它固有的,这就需要先产生一个时变的数字信号,然后再进行数模(D / A)转换。DDS主要是数字型器件,它们可以产生快速的开关频率和很宽频率范围的波形。 随着设计和工艺技术的不断进步,现在的DDS器件有高密集度和低功耗的特点。目前的DDS器件能产生频率的范围低到1Hz,高到400MHz(基于1-GHz时钟)。它引用了新工艺技术,成本又低,还有它的固有的高性能的特点以及可编程的数字输出波形,使得它与那些分离的,低灵活性的传统器件相比有着更为突出的优势。在有限的系统空间内,多渠道DDS装置允许同时对四个输出进行编程。 DDS的应用 目前,用DDS信号发生器主要有两种用途,一是通信,另外就是信号分析。其他典型用途包括电子物品监控( EAS )和海上浮标系统中的应用。 在的通讯系统中,要求信号源有低的噪声和激励,还要有高的频率转换能力等。 在信号分析中,许多工业和生物医学中,要产生易于调试频率和相位的信号,而且不改变外部元件的情况下就要用DDS了。简单的频率调整可以用来找出共振或补偿温度漂移。DDS可以用来作为频率测量传感器的激励源,或为微制动器产生宽调信号,或者检查局域网或电话线的衰减。 信号产生分析 在电子时代的今天它有着广泛的应用,包含译码数字信号处理、模拟测量、光纤、高频通信。 这类应用包括一个激励电路或已知的幅值和相位的信号系统,通过对响应的特征分析来提供重要的系统信息。在对任何一个传感系统和测量系统网络分析中,典型的要求都是比较响应信号和输入信号的频率,相位以及振幅。 47 电子科技大学成都学院本科毕业设计论文 自从激励的频率,相位和振幅可以用软件精确控制以来,而要产生一系列的信号激励,DDS芯片是一更好的选择。 在DDS低频率控制字范围,这些应用程序中使用的典型的频率往往从0到200kHz。这给某些应用程序的一个已知的频率段提供更多修改空间,但对于大多数已知频率的扫频范围内,就要对其相位和振幅进行分析。一个DDS信号发生器芯片可以提供全部的频率发生功能,这对更高要求的用户提供了方便。在没有外部电路要求的情况下,用户写入频率仅需要SPI接口就可以了。DDS的输出相位的典型值一般控制在10-14bit,频率分辨率小于0.1度。 48
本文档为【信号发生器设计制作】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_314871
暂无简介~
格式:doc
大小:346KB
软件:Word
页数:50
分类:工学
上传时间:2017-10-08
浏览量:14