首页 FPGA笔试题及答案总结

FPGA笔试题及答案总结

举报
开通vip

FPGA笔试题及答案总结 第 1 章 FPGA 基础知识 1.1 FPGA设计工程师努力的方向 SOPC,高速串行 I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随 着芯片工艺的提高,芯片容量、集成度都在增加,FPGA 设计也朝着高速、高度集成、低功 耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条 件,尽量在上板之前查出 bug,将发现 bug 的时间提前,这也是一些公司花大力气设计仿真 平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入 FPGA 设计者的 ...

FPGA笔试题及答案总结
第 1 章 FPGA 基础知识 1.1 FPGA设计工程师努力的方向 SOPC,高速串行 I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随 着芯片工艺的提高,芯片容量、集成度都在增加,FPGA 设计也朝着高速、高度集成、低功 耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条 件,尽量在上板之前查出 bug,将发现 bug 的时间提前,这也是一些公司花大力气设计仿真 平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入 FPGA 设计者的 考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低。高速串行 IO 的应用,也 丰富了 FPGA 的应用范围,象 xilinx 的 v2pro 中的高速链路也逐渐被应用。 总之,学无止 境,当掌握一定概念、 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 之后,就要开始考虑 FPGA 其它方面的问题了。 1.2 简述 FPGA等可编程逻辑器件设计流程 系统设计电路构思,设计说明与设计划分,电路设计与输入(HDL 代码、原理图),功能仿 真与测试,逻辑综合,门级综合,逻辑验证与测试(综合后仿真),布局布线,时序仿真, 板级验证与仿真,加载配置,在线调试。 常用开发工具(Altera FPGA) HDL 语言输入:Text Editor(HDL 语言输入),还可以使用 Ultra Edit 原理图输入:Schematic Editor IP Core 输入:MegaWinzad 综合工具:Synplify/Synplify Pro,Qaustus II 内嵌综合工具 仿真工具:ModelSim 实现与优化工具:Quartus II 集成的实现工具有 Assignment Editor(约束编辑器)、LogicLock (逻辑锁定工具)、PowerFit Fitter(布局布线器)、Timing Analyzer(时序分析器,STA 分析 工具)、Floorplan Editor(布局规划器)、Chip Editor(底层编辑器)、Design Space Explorer (设计空间管理器)、Design Assistant(检查设计可靠性)等。 后端辅助工具:Assembler(编程文件生成工具),Programmer(下载编程工具),PowerGauge (功耗仿真器) 调试工具:SignalTap II(在线逻辑分析仪),SignalProbe(信号探针)。 系统级设计环境:SOPC Builder,DSP Builder,Software Builder。 1.3 Quartus文件管理 1. 编译必需的文件:设计文件(.gdf、.bdf、EDIF 输入文件、.tdf、verilog 设计文件、.vqm、.vt、 VHDL 设计文件、. vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工 程文件(.qpf)。 2. 编译过程中生成的中间文件(.eqn 文件和 db 目录下的所有文件.tdf,.hdb,.xml 等) 3. 编译结束后生成的报告文件(.rpt、.qsmg 等) 4. 根据个人使用习惯生成的界面配置文件(.qws 等) 5. 编程文件(.sof、.pof、.ttf 等) 1.4 IC设计流程 写出一份 设计规范 民用建筑抗震设计规范配电网设计规范10kv变电所设计规范220kv变电站通用竖流式沉淀池设计 ,设计规范评估,选择芯片和工具,设计,(仿真,设计评估,综合,布 局和布线,仿真和整体检验)检验,最终评估,系统集成与测试,产品运输。 设计规则:使用自上而下的设计方法(行为级,寄存器传输级,门电路级),按器件的结构 来工作,做到同步设计,防止亚稳态的出现,避免悬浮的节点,避免总线的争抢(多个输出 端同时驱动同一个信号)。 设计测试(DFT)强调可测试性应该是设计目标的核心,目的是排除一个芯片的设计缺陷, 捕获芯片在物理上的缺陷问题。 ASIC 设计要求提供测试结构和测试系向量。FPGA 等默认生产厂商已经进行了适当的测试。 测试的 10/10 原则:测试电路的规模不要超过整个 FPGA 的 10%,花费在设计和仿真测试 逻辑上的时间不应超过设计整个逻辑电路的 10%。 1.5 FPGA基本结构 可编程输入/输出单元,基本可编程逻辑单元,嵌入式块 RAM,丰富的布线资源,底层 嵌入式功能单元,内嵌专用硬核。 常用的电气标准有 LVTTL,LCCMOS,SSTL,HSTL,LVDS,LVPECL,PCI 等。 FPGA 悬浮的总线会增加系统内的噪声,增加功率的损耗,并且具有潜在的产生不稳定 性的问题,解决 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 是加上拉电阻。 对于 SRAM 型器件,路径是通过编程多路选择器实现;对于反熔丝型器件,路径通过 传导线(高阻抗,有 RC 延时)来实现的。这两种结构都显著加大了路径延时。 1.6 FPGA选型时要考虑哪些方面? 需要的逻辑资源、应用的速度要求,功耗,可靠性,价格,开发环境和开发人员的熟悉程度。 1.7 同步设计的规则 单个时钟域: 1、 所有的数据都要通过组合逻辑和延时单元,典型的延时单元是触发器,这些触发器被一 个时钟信号所同步; 2、 延时总是由延时单元来控制,而不是由组合逻辑来控制; 3、 组合逻辑所产生的信号不能在没有通过一个同步延时单元的情况下反馈回到同一个组 合逻辑; 4、 时钟信号不能被门控,必须直接到达延时单元的时钟输入端,而不是经过任何组合逻辑; 5、 数据信号必须只通向组合逻辑或延时单元的数据输入端。 多个时钟域: 把通过两个不同时钟作用区域之间的信号作为异步信号处理 1.8 你所知道的可编程逻辑器件有哪些? PAL/GAL,CPLD,FPGA PLA:可编程逻辑阵列,一种用于大规模的与阵列和或阵列的逻辑器件,用于实现布尔 逻辑的不同组合。 PLA:可编程阵列逻辑,一种逻辑器件,由大规模的与阵列和规模小且数量固定的或门 组成,可用于实现布尔逻辑和状态机。 PAL:很短的交货时间、可编程的、没有 NRE(非循环工程)费用 门阵列:高密度性、能实现许多逻辑函数、速度相对较快 1.9 FPGA、ASIC、CPLD的概念及区别 FPGA(Field Programmable Gate Array)是可编程 ASIC。 ASIC 专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据 一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它 ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造 成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。 FPGA 采用同步时钟设计,使用全局时钟驱动,采用时钟驱动方式在各级专用布线资源 上灵活布线,ASIC 有时采用异步逻辑,一般采用门控时钟驱动,一旦设计完成,其布线是 固定的。FPGA 比 ASIC 开发周期短,成本低,设计灵活。 CPLD(Complex Programmable Logic Device)是复杂可编程逻辑器件。CPLD 开关 矩阵路径设计的一个优点是信号通过芯片的延时时间是确定的。设计者通过计算经由功能模 块、I/O 模块和开关矩阵的延迟就可以 任何信号的延迟时间,并且信号沿金属线传递所引 起的延迟是可忽略的。 CPLD FPGA 内部结构 Product-term Look-up Table 程序存储 内部 EEPROM SRAM,外挂 EEPROM 资源类型 组合电路资源丰富 触发器资源丰富 集成度 低 高 使用场合 完成控制逻辑 能完成比较复杂的算法 速度 慢 快 其他资源 - PLL、RAM 和乘法器等 保密性 可加密 一般不能保密 1.10 锁存器(latch)和触发器(flip-flop)区别? 电平敏感的存储器件称为锁存器,可分为高电平锁存器和低电平锁存器,用于不同时钟 之间的信号同步。 由交叉耦合的门构成的双稳态的存储原件称为触发器。分为上升沿触发和下降沿触发。 可以认为是两个不同电平敏感的锁存器串连而成。前一个锁存器决定了触发器的建立时间, 后一个锁存器则决定了保持时间。 锁存器对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电 平值,仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。 锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通 过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器 也称为透明锁存器,指的是不锁存时输出对于输入是透明的。 应用场合:数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在 某些运算器电路中有时采用锁存器作为数据暂存器。 缺点:时序分析较困难。 不要锁存器的原因:1、锁存器容易产生毛刺,2、锁存器在 ASIC 设计中应该说比 ff 要简单,但是在 FPGA 的资源中,大部分器件没有锁存器这个东西,所以需要用一个逻辑 门和 ff 来组成锁存器,这样就浪费了资源。 优点:面积小。锁存器比 FF 快,所以用在地址锁存是很合适的,不过一定要保证所有 的 latch 信号源的质量,锁存器在 CPU 设计中很常见,正是由于它的应用使得 CPU 的速度 比外部 IO 部件逻辑快许多。latch 完成同一个功能所需要的门较触发器要少,所以在 asic 中 用的较多。 寄存器用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果, 它被广泛的用于各类数字系统和计算机中。其实寄存器就是一种常用的时序逻辑电路,但这 种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个 锁存器或触发器能存储 1 位二进制数,所以由 N 个锁存器或触发器可以构成 N 位寄存器。 工 程中的寄存器一般按计算机中字节的位数设计,所以一般有 8 位寄存器、16 位寄存器等。 对寄存器中的触发器只要求它们具有置 1、置 0 的功能即可,因而无论是用同步 RS 结 构触发器,还是用主从结构或边沿触发结构的触发器,都可以组成寄存器。一般由 D 触发 器组成,有公共输入/输出使能控制端和时钟,一般把使能控制端作为寄存器电路的选择信 号,把时钟控制端作为数据输入控制信号。 寄存器的应用 1. 可以完成数据的并串、串并转换; 2.可以用做显示数据锁存器:许多设备需要显示计数器的记数值,以 8421BCD 码记数, 以七段显示器显示,如果记数速度较高,人眼则无法辨认迅速变化的显示字符。在计数器和 译码器之间加入一个锁存器,控制数据的显示时间是常用的方法。 3.用作缓冲器; 4. 组成计数器:移位寄存器可以组成移位型计数器,如环形或扭环形计数器。 1.11 JTAG信号 TCK:测试时钟输入,用于移位控制,上升沿将测试指令、测试数据和控制输入信号移入芯 片;下降沿时将数据从芯片移出。 TMS:测试模式选择,串行输入端,用于控制芯片内部的 JTAG 状态机。 TDI:测试数据输入,串行输入端,用于指令和编程数据的输入,在时钟上升沿,数据被捕 获。 TDO:测试数据输出,串行输出端,时钟下降沿,数据被驱动输出。 TRST:测试复位输入(仅用于扩展 JTAG),异步、低电平有效,用于 JTAG 初始化时。 1.12 FPGA芯片内有哪两种存储器资源? FPGA 芯片内有两种存储器资源:一种叫 block ram,另一种是由 LUT 配置成的内部存 储器(也就是分布式 ram,distribute ram)。Block ram 由一定数量固定大小的存储块构成 的,使用 BLOCK RAM 资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的 BLOCK RAM 资源是其块大小的整数倍。 1.13 FPGA中可以综合实现为 RAM/ROM/CAM的三种资源及其注意事项? 三种资源:block ram、触发器(FF)、查找表(LUT); 注意事项: 1、在生成 RAM 等存储单元时,应该首选 block ram 资源;原因有二:使用 block ram 等资源,可以节约更多的 FF 和 4-LUT 等底层可编程单元,最大程度发挥器件效能,节约成 本; block ram 是一种可以配置的硬件结构,其可靠性和速度与用 LUT 和 register 构建的 存储器更有优势。 2、弄清 FPGA 的硬件结构,合理使用 block ram 资源; 3、分析 block ram 容量,高效使用 block ram 资源和分布式 ram 资源(distribute ram)。 1.14 FPGA设计中对时钟的使用?(例如分频等) FPGA 芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相 位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动, 还会使时钟带上毛刺。一般的处理方法是采用 FPGA 芯片自带的时钟管理器如 PLL,DLL 或 DCM,或者把逻辑转换到触发器的 D 输入。 1.15 Xilinx中与全局时钟资源和 DLL相关的硬件原语 常用的与全局时钟资源相关的Xilinx器件原语包括:BUFG, IBUFGDS, BUFG, BUFGP, BUFGCE, BUFGMUX, BUFGDLL, DCM 等。 1.16 HDL语言的层次概念? HDL 语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行 为级,寄存器传输级和门级。 1.17 查找表的原理与结构? 查找表(look-up-table)简称为 LUT,本质上是一个 RAM。目前 FPGA 中多使用 4 输 入的 LUT,所以每一个 LUT 可以看成一个有 4 位地址线的 16x1 的 RAM。 当用户通过原 理图或 HDL 语言描述了一个逻辑电路以后,PLD/FPGA 开发软件会自动计算逻辑电路的所 有可能的结果,并把结果事先写入 RAM,每输入一个信号进行逻辑运算就等于输入一个地 址进行查表,找出地址对应的内容,然后输出。 1.18 IC设计前端到后端的流程和 EDA工具? 设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到 与工艺有关的设计就是后端设计。 1:规格制定:客户向芯片设计公司提出设计要求。 2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方 案和具体实现架构,划分模块功能。目前架构的验证一般基于 system C,仿真可以使用 system C 的仿真工具,CoCentric 和 Visual Elite 等。 3:HDL 编码:设计输入工具:ultra ,visual VHDL 等 4:仿真验证:modelsim 5:逻辑综合:synplify 6:静态时序分析:synopsys 的 Prime Time 7:形式验证:Synopsys 的 Formality. 1.19 什么是“线与”逻辑,要实现它,在硬件特性上有什么具体要求? 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用 OC 门(集电极开 路与非门)来实现,由于不用 OC 门可能使灌电流过大,而烧坏逻辑门,因此在输出端口应 加一个上拉电阻。 1.20 IC设计中同步复位与异步复位的区别? 同步复位在时钟沿采复位信号,完成复位动作。 异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要 求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 1.21 MOORE 与 MEELEY状态机的特征? Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。 Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。 1.22 Latch和 Register区别?行为描述中 Latch如何产生? 本质的区别在于:latch 是电平触发,register 是边沿触发。 register 在同一时钟边沿触发下动作,符 合同 劳动合同范本免费下载装修合同范本免费下载租赁合同免费下载房屋买卖合同下载劳务合同范本下载 步电路的设计思想,而 latch 则属于异步 电路设计,往往会导致时序分析困难,不适当的应用 latch 则会大量浪费芯片资源。时序设 计中尽量使用 register 触发。 行为描述中,如果对应所有可能输入条件,有的输入没有对应明确的输出,系统会综合 出 latch。比如://缺少 else 语句 always@( a or b) begin if(a==1) q <= b; end 1.23 单片机上电后没有运转,首先要检查什么? 首先应该确认电源电压是否正常;接下来就是检查复位引脚电压是否正常;然后再检查 晶振是否起振了。 如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚 之间接上一个 0.1uF的电容会有所改善。如果电源没有滤波电容的话,则需要再接一个更大 滤波电容,例如 220uF的。遇到系统不稳定时,就可以并上电容试试(越靠近芯片越好)。 1.24 集成电路前端设计流程,写出相关的工具。 1)代码输入(design input) 用 vhdl 或者是 verilog 语言来完成器件的功能描述,生成 hdl 代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2)电路仿真(circuit simulation) 将 vhd 代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL:CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: ANTI HSpice pspice,spectre micro microwave: eesoft : hp 3)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想 vhd 代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿 真。最终仿真结果生成的网表称为物理网表。 第 2 章 时序约束 2.1 时序约束的概念和基本策略 时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可 以综合布线工具调整映射和布局布线,是设计达到时序要求。 策略:附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专 门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组, 对分组附加周期约束,然后对 FPGA/CPLD 输入输出 PAD 附加偏移约束、对全组合逻辑的 PAD TO PAD 路径附加约束。附加专门约束时,首先约束分组之间的路径,然后约束快、 慢速例外路径和多周期路径,以及其他特殊路径。 附加约束的作用:1、提高设计的工作频率(减少了逻辑和布线延时);2、获得正确的 时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要 求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3、指定 FPGA/CPLD 的电气标准和引脚位置。 2.2 FPGA设计中如何实现同步时序电路的延时? 首先说说异步电路的延时实现:异步电路一半是通过加 buffer、两级与非门等,但这 是不适合同步电路实现延时的。在同步电路中,对于比较大的和特殊要求的延时,一半通过 高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍, 不过这样只能延迟一个时钟周期。 2.3 什么是同步逻辑和异步逻辑? 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运 作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使 之同步。由于异步电路具有下列优点--无时钟歪斜问题、低电源消耗、平均效能而非最差效 能、模块性、可组合和可复用性--因此近年来对异步电路研究增加快速, 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 发表数以倍增, 而 Intel Pentium 4 处理器设计,也开始采用异步电路设计。v 异步电路主要是组合逻辑电路, 用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号 都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各 种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些 时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端, 只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲 的到来,此时无论外部输入有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时 钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入 的变化直接引起。 2.4 同步电路和异步电路的区别? 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触 发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这 些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 2.5 同步设计的原则 1、 尽可能使用同一时钟,时钟走全局时钟网络。多时钟域采用“局部同步”。 2、 避免使用缓和时钟采样数据。采用混合时钟采用将导致 Fmax 小一倍。 3、 避免在模块内部使用计数器分频所产生的时钟。 4、 避免使用门控时钟。组合电路会产生大量毛刺,所以会在 clk 上产生毛刺导致 FF 误翻转。可以用时钟始能代替门控时钟。 2.6 时序设计的实质 电路设计的难点在时序设计,时序设计的实质就是满足每一个触发器的建立/保持时间 的要求。 2.7 对于多位的异步信号如何进行同步? 对一位的异步信号使用一位同步器,而对于多位的异步信号,可以采用如下方法:1: 可以采用保持寄存器加握手信号的方法(多数据,控制,地址);2:特殊的具体应用电路 结构,根据应用的不同而不同;3:异步 FIFO(最常用的缓存单元是 DPRAM)。 2.8 什么是时钟抖动? 时钟抖动是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不 同的周期上可能加长或缩短。它是一个平均值为 0 的平均变量。 2.9 建立时间与保持时间的概念? Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。 建立时间是指触发器的时钟信号上升沿到来以前,其数据输入端的数据必须保持不变的 时间。输入信号应提前时钟沿 T 时间到达芯片,这个 T 就是建立时间-Setup time。如不满 足 setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟沿,数据才能被 打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,其数据输入端的数据必须保持不变的 时间。如果 hold time 不够,数据同样不能被打入触发器。 不考虑时钟的 skew,D2 的建立时间不能大于(时钟周期 T - D1 数据最迟到达时间 T1max+T2max);保持时间不能大于(D1 数据最快到达时间 T1min+T2min);否则 D2 的数据将进入亚稳态并向后级电路传播。 如果不满足建立和保持时间的话,那么 DFF 将不能正确地采样到数据,将会出现 metastability 的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间, 那么超过量就分别被称为建立时间裕量和保持时间裕量。 2.10 为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器 将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在 0 和 1 之间变化,这时需要经过 一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用 两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满 足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 2.11 什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发 器来使异步电路同步化的电路为一位同步器,用来对一位异步信号进行同步。两级触发器可 防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲 沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一 段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满 足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足 其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+第二级触发 器的建立时间< =时钟周期。 2.12 如何防止亚稳态? 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入 亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平 上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用 的输出电平可以沿信号通道上的各个触发器级联式传播下去。 解决方法: 1 降低系统时钟 2 用反应更快的 FF 3 引入同步机制,防止亚稳态传播 4 改善时钟质量,用边沿变化快速的时钟信号 关键是器件使用比较好的工艺和时钟周期的裕量要大。 2.13 系统最高速度计算(最快时钟频率)和流水线设计思想 同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越 短,电路在单位时间内处理的数据量就愈大。假设 Tco 是触发器的输入数据被时钟打入到 触发器到数据到达触发器输出端的延时时间;Tdelay 是组合逻辑的延时;Tsetup 是D触发 器的建立时间。假设数据已被时钟打入 D 触发器,那么数据到达第一个触发器的Q输出端 需要的延时时间是 Tco,经过组合逻辑的延时时间为 Tdelay,然后到达第二个触发器的D 端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于 Tco +Tdelay+Tsetup,也就是说最小的时钟周期 Tmin =Tco+Tdelay+Tsetup,即最快的时 钟频率 Fmax =1/Tmin。FPGA 开发软件也是通过这种方法来计算系统最高运行速度 Fmax。 因为 Tco 和 Tsetup 是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时 间 Tdelay,所以说缩短触发器间组合逻辑的延时时间是提高同步电路速度的关键所在。由 于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。 故只有缩短最长延时路径,才能提高电路的工作频率。可以将较大的组合逻辑分解为较小的 N 块,通过适当的方法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同 的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电路 的工作频率。这就是所谓"流水线"技术的基本设计思想,即原设计速度受限部分用一个时钟 周期实现,采用流水线技术插入触发器后,可用 N 个时钟周期实现,因此系统的工作速度 可以加快,吞吐量加大。注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会 稍有增加。 2.14 多时域设计中,如何处理信号跨时域? 建立和保持时间如果数据发生变化,就可能发生亚稳态现象。一般来说,在单一时钟域 的设计中只要系统电路的 fmax 能够保证,就可以避免亚稳态的发生;但是在跨时钟域的时 钟的相位是异步的,亚稳态将无法避免。此时,在跨时钟设计时的解决亚稳态的思想是:虽 然亚稳态无法避免,但可以对不同的时钟域之间信号进行同步处理,防止新时钟域中第一级 触发器的亚稳态信号对下级逻辑造成影响。使得在发生亚稳态后系统仍然可以稳定地工作。 单根信号下,对第 2 个时钟用 D 触发器打 2 拍就可以将亚稳态的影响减少到可以忽略 的地步。多根信号下:握手,速度太慢;异步 FIFO;多相位/高频时钟多次采样数据。 2.15 说说静态、动态时序分析的优缺点? 时序分析是允许用户分析设计中所有逻辑的时序性能,并协助引导布局布线满足设计中 的时序分析要求。 静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在 这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延 时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路 径,且运行速度很 快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而 且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成 电 路设计的验证中。 动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每 一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题。 2.16 给了 reg的 setup,hold时间,求中间组合逻辑的 delay范围 Delay < period - setup – hold 2.17 时钟周期 T,触发器D1的寄存器到输出时间最大为 T1max,最小为 T1min。 组合逻辑电路最大延迟为 T2max,最小为 T2min。问,触发器 D2的建立 时间 T3和保持时间应满足什么条件 T3setup>T+T2max,T3hold>T1min+T2min 第 3 章 RTL 级设计 3.1 用 VERILOG或 VHDL写一段代码,实现消除一个 glitch? 将传输过来的信号经过两级触发器就可以消除毛刺。 3.2 阻塞式赋值和非组塞式赋值的区别? 非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中,同时执行。 阻塞赋值:完成该赋值语句后才做下一句的操作,一般用在组合逻辑描述中,顺序执行。 3.3 用 FSM实现 101101的序列检测模块。 a 为输入端,b 为输出端,如果 a 连续输入为 1101 则 b 输出为 1,否则为 0。 例如 a: 0001100110110100100110 b: 0000000000100100000000 请画出 state machine;请用 RTL 描述其 state machine。 idle st3 st2 st0 st1 1/0 1/0 0/0 1/1 0/0 0/0 1/0 1/0 1/0 0/0 状态分配: idle:000 st0:001 st1:011 st2:010 st3:110 3.4 用 verilog/vhdl写一个 fifo控制器(包括空,满,半满信号)。 reg[N-1:0] memory[0:M-1]; 定义 FIFO 为 N 位字长容量 M 八个 always 模块实现,两个用于读写 FIFO,两个用于产生头地址 head 和尾地址 tail, 一个产生 counter 计数,剩下三个根据 counter 的值产生空,满,半满信号产生空,满,半 满信号。 3.5 用 D触发器实现 2分频的 Verilog描述? module divide2( clk , clk_o, reset); input clk , reset; output clk_o; wire in; reg out ; always @ ( posedge clk or posedge reset) if ( reset) out <= 0; else out <= in; assign in = ~out; assign clk_o = out; endmodule 3.6 用 D触发器做个二分频的电路?画出逻辑电路? D 触发器的输出 Q 取反接到输入,输出作为二分频输出。 显示工程设计中一般不采用这样的方式来设计,二分频一般通过 DCM 或 PLL 来实现。 通过 DCM 或者 PLL 得到的分频信号没有相位差。 3.7 描述一个交通信号灯的设计。 module traffic 3.8 设计一个自动饮料售卖机,饮料 10分钱,硬币有 5分和 10分两种,并考虑 找零,1.画出 fsm(有限状态机)2.用 verilog编程,语法要符合 fpga设计 的要求 3.设计工程中可使用的工具及设计大致过程 (1)点路变量分析:投入 5 分硬币为一个变量,定义为 A,为输入;投入 10 分硬币 为一个变量,定义为 B,为输入;售货机给出饮料为一变量,定义为 Y,为输出;售货机找 零为一变量,定义为 Z,为输出。 (2)状态确定:电路共有两个状态:状态 S0,表示未投入任何硬币;状态 S1,表示 投入了 5 分硬币。 (3)设计过程:设当前为 S0 状态,当接收到 5 分硬币时,转换到 S1 状态,等待继 续投入硬币;当接收到 10 分硬币时,保持 S0 状态,弹出饮料,不找零。当前状态为 S1 时,表示已经有 5 分硬币,若再接收 5 分硬币,转换到 S0 状态,弹出饮料,不找零;若接 收到 10 分硬币,转换到 S0 状态,弹出饮料,找零。 所用设计工具:Quartus II,modelsim S0 S1 AB/YZ 10/00 01/10 10/10 01/11 00/00 00/00 module machine(clk,rst,A,B,Y,Z); endmodule 第 4 章 名词解释 4.1 sram,falsh memory及 dram的区别? sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像 DRAM 需 要不停的 REFRESH,制造成本较高,通常用来作为快取(CACHE) 记忆体使用 flash:闪存,存取速度慢,容量大,掉电后数据不会丢失 dram:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位 差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比 sram 便宜,但访 问速度较慢,耗电量较大,常用作计算机的内存使用。 SSRAM:Synchronous Static Random Access Memory 同步静态随机访问存储器。它 的一种类型的 SRAM。SSRAM 的所有访问都在时钟的上升/下降沿启动。地址、数据输入 和其它控制信号均于时钟信号相关。这一点与异步 SRAM 不同,异步 SRAM 的访问独立于 时钟,数据输入和输出都由地址的变化控制。 SDRAM:Synchronous DRAM 同步动态随机存储器。 FPGA设计中既可以用于静态验证又可以用于动态仿真的是(断言,类似于 C语言里的 assert,静态验证类似于程序在编译阶段就能发现错误,动态仿真是仿真阶段发现错误) 3.WCDMA的码片速率是:3.84Mcps 4.2 PROM分类: 可擦除可编程的只读存储器(EPROM):施加高压电信号编程,置于紫外线中可擦除其内容。 电可擦除可编程只读存储器(E 2 PROM):高压编程和擦除。 Flash 存储器:电信号对其编程和擦除。 4.3 PROM分类: 4.4 名词 IRQ,BIOS,USB,VHDL,SDR 4.5 给你一堆名词,举例他们的作用。有 PCI、ECC、DDR、interrupt、pipeline 中断的类型,作用。 IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 PCI:Peripheral Component Interconnect(PCI), DDR:DoubleDataRate ECC:Error Checking and Correcting ATPG:Automatic Test Pattern Generator 自动测试相量生成 CMOS:Complement Metel Oxide Semi-conduct ECO: Engineering Change Order 工程修改订单。 PCI:PCI 是 Peripheral Component Interconnect(外设部件互连标准)的缩写 PCI 是由 Intel 公司 1991 年推出的一种局部总线。最早提出的 PCI 总线工作在 33MHz 频率之下, 传输带宽达到了 133MB/s(33MHz X 32bit/8),它为显卡,声卡,网卡,MODEM 等设 备提供了连接接口。 ECC:erro checking and correcting 数据校验纠错,应用在内存上 ECC 内存 DDR:内存 double date rate Interrupt:中断 分为硬件中断和软件中断。硬件中断分为可屏蔽中断和不可屏蔽中断。 Pipeline:流水线采用流水线技术的 CPU 使用指令重叠的办法,即在一条指令还没有处理 完时,就开始处理下一条指令。典型的流水线将每一条机器指令分成 5 步,即取指、译码、 取操作数(或译码 2)、执行、回写。在理想条件下,平均每个时钟周期可以完成一条指令而 所谓“超级流水线处理”是将机器指令划分为更多级的操作,以减轻每一级的复杂程度。在流 水线的每一步中,如果需要执行的逻辑操作少一些,则每一步就可以在较短的时间内完成。 TLB:Translate Look side Buffers,转换旁视缓冲器 apic: Advanced Programmable Interrupt Controller 高级程序中断控制器. DP ual Processing 双处理器 4.6 请简要描述 HUFFMAN编码的基本原理及其基本的实现方法。 4.7 说出 OSI七层网络协议中的四层 从底至顶分别为: 物理层、数据链路层、网络层、运输层、会话层、表示层、应用层 1 物理层功能: ①提供物理链路所需的机械(设备)、电气(信号)、功能和规程(单 工、半双工、全双工);②为数据链路层提供服务,从数据链路层接收数据,并按规定形式 的信号和格式将数据发送;③向数据链路层提供数据(把比特流还原为数据链路层可以理解 的格式)和电路标识、故障状态及服务质量参数等等。 2 数据链路层:为网络层提供服务,从源开放系统的网络层向目的开放系统的网络层传 输数据,屏蔽了物理层的特征。 3 网络层:处理与寻址和传输有关的管理问题(这里所说的传输有关问题是指提供传输 基础、准备工作)同一 LAN 内可以省略该层 4 传输层:数据核对和初步整理。 5 会话层:数据传输的“中间商”角色,负责数据传输的“售后服务” 6 表示层:隐藏不同硬件间的差异,使不同计算机互联数据的最终处理,供用户使用 7 应用层:提供 OSI 用户服务,如事务处理、文件传输、数据检索、网络管理、加密 问答题 1. 什么是 I/O口的读-修改-写操作 2. 逻辑综合的输入输出是什么意思 3. simulator和 emulator的区别和应用场合 4. 寄存器和锁存器的区别 大题 1. 编程实现 30h—3fh有 16个数,相加存到 40h,41h(存高位).cpu 和内 存信号时序(使能,时钟,读写,地址,数据),考虑建立时间保持时间,传输延时 2.高速信号的完整性?如何实现端接,解耦怎样处理? 3.一个芯片输入管脚图,分析计算和一个 TTL电平连接的电阻阻值范围 4.复位信号的处理方法,写出你在设计中如何防止复位信号中的抖动? 5.有一个同步帧信号周期为 5ms,长度为 1us,现在有一个 5ns的干扰信号,给定一个 EPLD时 钟 32Mhz,设计一个抗干扰模块. 33、用逻辑们和 cmos 电路实现 ab+cd。(飞利浦-大唐笔试) 34、画出 CMOS电路的晶体管级电路图,实现 Y=A*B+C(D+E)。(仕兰微电子) 35、利用 4选 1实现 F(x,y,z)=xz+yz'。(未知) 36、给一个表达式 f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化 简)。 38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什 么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知) 39、用与非门等设计全加法器。(华为) 42、A,B,C,D,E进行投票,多数服从少数,输出是 F(也就是如果 A,B,C,D,E中 1的个数比 0多,那么 F输出为 1,否则 F为 0),用与非门实现,输入数目没有限制。(未知) 44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试) 45、用逻辑们画出 D触发器。(威盛 VIA 2003.11.06 上海笔试试题) 46、画出 DFF的结构图,用 verilog实现之。(威盛) 47、画出一种 CMOS的 D锁存器的电路图和版图。(未知) 48、D触发器和 D锁存器的区别。(新太硬件面试) 54、怎样用 D触发器、与或非门组成二分频电路?(东信笔试) 56、用 filp-flop和 logic-gate设计一个 1位加法器,输入 carryin和 current-stage, 输出 carryout和 next-stage. (未知) 57、用 D触发器做个 4进制的计数。(华为) 58、实现 N位 Johnson Counter,N=5。(南山之桥) 4.8 FPGA基础(实用型) PLL:Phase Locked Loop,锁相环,是模拟电路 DLL:Delay Locked Loop,延时锁相环,是纯数字电路。 Altera IP 核生成器:Mega Wizard;Xilinix 的 IP 核生成器叫 Core Generator 1 LAB(逻辑阵列块)=10 LE(逻辑单元)= 1 LUT(4 输入查找表)+ 1 FF(可编程触发器) 片内 RAM 都是同步 RAM,读写需要时钟控制,好处在于:带宽可以很大,采用流水 结构,利于时序分析,节省用户资源。 FPGA 最小单位为逻辑单元 LE(10 个 LUT+1 个寄存器) LE 两种模式:正常模式(逻辑电路)、动态算术模式(计数器、触发器等),Stratix II 采用的是 ALM(自适应逻辑模块)架构,根据用户需求由设计工具自动配置成需要的模式。 ALM 含有两个 3 输入加法器,LAB 包含 8 个 ALM。 FPGA 基于查找表(SRAM)技术,配置需要 EPCS SignalTap 观察 reg 和 wire 型数据: 在待观察的 wire 信号旁边加上/*synthesis keep*/; wire my_wire /* synthesis keep = 1 */; 对于 reg 信号则加/*synthesis noprune*/ reg my_reg /* synthesis preserve = 1 */; /*synthesis noprune*/避免 Quartus II 优化掉没 output 的 reg。 /*synthesis preserve*/避免 Quartus II 将 reg 优化为常数,或者合并重复 的 reg。 define的使用: `define DATA 8’b1000_1100 case(din) `DATA: endcase integer intA;//默认 32 位 reg [15:0] regA; intA= -4’d12/3; 结果为 1431655761 regA=-4’d12/3; 结果为 65532 intA= -12/3; 结果为-4 regA=-12/3; 结果为 65532 -4’d12 表示一个寄存器数据,-12 表示一个整型数据
本文档为【FPGA笔试题及答案总结】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_071526
暂无简介~
格式:pdf
大小:527KB
软件:PDF阅读器
页数:17
分类:互联网
上传时间:2012-10-23
浏览量:242