首页 先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends)

先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends)

举报
开通vip

先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends)先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends) 先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends) Advanced semiconductor equipment manufacturing technology and trends Zhang Yun Wang Zh...

先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends)
先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends) 先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends) Advanced semiconductor equipment manufacturing technology and trends Zhang Yun Wang Zhiyue The forty-fifth Research Institute, China Electronic Technology Group Corporation Abstract: This paper first introduces the market of semiconductor equipment both at home and abroad, and believes that the market has good prospects, although there are ups and downs. From wafer processing and packaging Typical equipment, introduced the current most advanced semiconductor equipment technology, and then summed up the four major trends in the development of semiconductor equipment technology. 1 domestic and international semiconductor equipment market According to SEMI's research, 2006 Global The semiconductor equipment market is $38 billion 810 million, compared with 2005 growth of 18%, mainly because of everywhere District investment has a certain degree of growth, but less 20% (Japan) and more than 229% (mainland China), Overall equipment orders growth rate compared to 2005 51%, more than $2 billion 840 million at the end of 2005. SEMI at SEMICON Japan show Issued a year-end edition of semiconductor capital equipment consensus Forecast (SEMI, Capital, Equipment, Consensus Forecast) expected in 2007 Ball semiconductor manufacturing equipment market sales growth slowed 3%, reaching $41 billion 680 million; 2008 The global semiconductor equipment market will decline Slip 1.5%; recover by 2009 and 2010 Re growth is expected to achieve high growth in single digits, Sales reached $47 billion 990 million in 2010. SEMI president and CEO Stanley T. Myers expresses, 2007 semiconductor manufacturing, sealing Sales of equipment and test equipment were slightly higher than last year, Become the industry's second highest sales in history Year. SEMI members will continue to push forward the semiconductor system Strong growth in equipment is expected by 2010 Market sales reached $48 billion. From equipment type analysis, holds the largest portion The amount of wafer processing equipment in the field will increase in 2007 Long.. 6% reach.. $30 billion 610 million, package set up.. 12.4%. Table 2. Cities by Region Growth in the field ranged from 11% to $2 billion 720 million, while field sales included actual sales in previous years and The field of test equipment is expected to show a decline of 15% forecast in the future. To... 5 billion 470 million dollars. Table 1 is based on device type, although the semiconductor equipment market has some ups and bounds Divided market sales, including the previous year's actual value, but it is clear that the market outlook is very good, International sales and future forecasts. The whole has been steady and upward. Mainland China 2006 From the regional market analysis, North America, Japan and semiconductor equipment sales in more than 2 billion 300 million U.S. dollars, The European semiconductor equipment market declined and declined by 74.4% in 2005 Market sales have been on the rise, respectively, at 8.9%, 3.1%, and 11.7% And Taiwan and Mainland China sales growth, the most semiconductor equipment has a very attractive market before The big ones are... 28.9% and... 23.8%, Taiwan view. This and the rapid development of China's semiconductor industry Regional sales reached $9 billion 420 million, a direct historical relationship, China's market is also getting more and more Since the second time, more than Japan; the South Korean market has given rise to the attention of international semiconductor equipment manufacturers Micro growth.. 5.2%, and the rest of the market is also declining, funding will become more and more great, for our domestic half 40 semiconductor industry.. 2008 / 2 Conductor equipment manufacturers are opportunities, but also challenges. Technically, a semiconductor device is designed The technical barriers to preparation are getting higher and higher in higher order systems Semiconductor process development in process equipment requirements Fast, the linewidth continues to be physically oriented The limits of learning and optics. 2006 65nm integrated circuit equipment has been put into operation Use, 45nm equipment is gradually entering the market. Driving the global semiconductor market It's consumer electronics, mainly in the past two years, PC and hand The future initiative of the global conductor field It's wireless communications, consumer electronics, and automotive electronics. In 2006, the global semiconductor market grew by two IC over digit is DRAN, flash memory, DSP, standard linear IC (power IC) and image Sensors such as [1]. 2 Latest semiconductor device technology At present, foreign 90nm integrated circuits Set of equipment has been put into use in large quantities, 65nm equipment Has gradually entered the market, as early as 2004, 12 British Inch chip manufacturing equipment sales throughout the chip More than 50% of the manufacturing equipment has been adapted A new generation of equipment required for the new process has evolved Hou. At present, the technical level of semiconductor equipment is more and more The higher the difficulty, the more difficult it is to manufacture. enter After entering the nanometer age, manufacturing technology is difficult Step increase, challenge to machining capability, make equipment Complexity increases and prices continue to rise. set up High input and high consumption of equipment running It is a difficult problem for IC processing plant. With the progress of semiconductor technology, devices The degree of integration is higher and higher and the line width is becoming finer and thinner. A typical breed, a set of photolithographic masks, has Between 30 and 40 pieces, there are seven layers of metal wires The process is up to hundreds of steps, so it must be maintained The high yield rate of each process is very important. order Prior to the international mainstream integrated circuit production line is Gradually shift from 300mm/90nm process technology 300mm/65nm process technology, 300mm/ 45nm technology will be available by the end of 2007 Intel company took the lead in the production process. In order to solve The problem of high integration and smaller line width is inevitable For wafer processing equipment and packaging equipment, more High requirement. 2.1 wafer processing equipment technology Typical devices in wafer processing equipment are Lithography machine. In lithography, the models come from contact exposure Light, proximity exposure, step by step, repetitive exposure Exposure to step scan type; exposure wavelength (from wavelength) 436nm, 365nm, and 248nm developed to 193nm or even 157nm; the number of optical lenses The value of aperture (NA) is also increasing. In recent years, as a result of continuing to decrease.. Big.. NA difficulty increases, including off-axis illumination (OAI) optical proximity correction (OPC), Resolution enhancement technique, including phase shifting mask (PSM) RET has become the main target of lithography equipment Developments, especially immersion exposure technologies, are presented Once again, breaking down on traditional optical lithography The prediction of the surgical limit has been extended to 65nm Under the. According to the international semiconductor technology development line The forecast for ITRS will be launched in 2018 16nm technology as a support strip for integrated circuits Research on semiconductor and integrated circuit specific equipment System technology will continue to develop in depth, [2]. CMP (Chemical Mechanical) Planarization, chemical mechanical planarization) Equipment is also a non - essential part of the wafer handling process Often critical equipment, technical progress of the device To some extent, it represents wafer processing equipment technology The trend of the progression and flattening technique have been developed Become equally important with lithography, etching and other technologies And interdependent, indispensable key technologies One of。 Is the most effective, most mature flat Planarization technology is a combination of cleaning, drying, and drying Line detection, endpoint detection and other technologies in one Technology is integrated circuit to micro, multilayer The important technical foundation of developing and thinning, Also integrated circuits are entered below 0.25 m Increase production efficiency and reduce costs later One of the key technologies. 130 nm node multilayer metal interconnects for 7~8 layers, 90 nm nodes of multilayer metal mutual A multilayer metal connected to 10 layers and 65 nm nodes The interconnection is 11 layers with an increase in the interconnection of metal layers, Causing serious surface irregularities on the wafer, resulting in The depth of focus required for graphic exposure is not satisfied. In order to reduce the technical pressure on lithography equipment Force, CMP technology has become an indispensable concern Key technology. CMP development process from the process Can be divided into the following three stages: the first stage is Prior to the copper wiring process, the main planarization material For tungsten and oxide; the second stage is 1997 From 2002 to 2000, the process of metal double damascene was carried out The flattening material extends from silica to Fluosilicate glass (FSG), this stage corresponds to Semiconductor industry.. 2008 / 241 From... 0.25 m into.. 0.13 m node. Shrink in 2008.. 57 nm.. 2010 used in... SiP and other new packages. The third stage is to adopt copper interconnect and low.. K years to achieve.. 45 nm until 2018.. 18, as the wafer diameter increases, in order to ensure the crystal The quality of flat objects is mainly copper interconnect layer, nanometer. Correspondingly, the bonding wire welding rod has the strong enough in the process of making circuit The interlayer insulation film and the shallow channel isolation layer, which will be in the same period of time from 35 microns to less, can not be applied in very thin wafers in hundreds The phase corresponds to... 90nm following nodes. As small as 20 microns. The transfer, low dielectric constant insulating material before the process. Can only pick The third generation.. CMP equipment integrates a series of widely used online wide 65nm and below sets of wafers with a certain thickness in the process Advanced systems or modules that work in and out into a circuit. The circulation of low dielectric constant insulating materials. But before the IC circuit is packaged, It's one of the most prominent features of CMP. This generation of significant flexibility requires wire bonding on it to remove the excess substrate at a certain thickness on the back of the wafer CMP equipment greatly reduced wafer, with small force and high ultrasonic power materials. This process is called wafer Defect, In particular, after the cleaning process, a combination of bonding parameters optimization method to ensure the key back thinning process, the corresponding equipment is wafer Greatly reduces the metal.. the quality and reliability of the polishing liquid in the CMP process. Advanced packaging form reduction machine. Erosion of metal lines. The overall thickness of the online inspection module is thin and the inter chip pad span is large when the target thickness of the wafer is less than 100 mu m Such as driving motor, current detection, operation state, lead density and other prominent features, the corresponding lead button is called "ultra-thin wafer" The optical sensor array, end point detection, with significant equipment must have low arc (arc height. 75 to standard thickness thinning to 25 m, the thickness of fine The performance of the CMP process is reduced by 100mm, and the ultra long (arc length is greater than the degree of less than 1 m) has been required for roughness Defect rate. Some new endpoint detection methods and 8mm) and ultrafine pitch (spacing less than reach nanometer scale, or even less than one nanometer), are presented here Other test techniques such as acoustic sensors and force 25mm) arc generation capability. The 3D package is very specific to the overall performance of the thinner Sensors and copper radioactive detection will be required by the greater height difference between the third chips for wire bonding requirements, such as smaller size requirements for grinding wheels, Application of CMP device. The optical system of the equipment adopts focusing optical path, and the control precision is higher. For less than one Na When switching between tubes at different heights, the roughness requirements of the high-efficiency meters are reduced only by wafer thinning 2.2 package device technology focuses accurately. Stacked chips are available for other bonding processes after wire bonding. wafer Packaging has become a challenge in system cost and performance, as well as multilayer wire bonding requirements leading to surface quality defects such as microcracks after grinding, The limiting factors are very consistent between semiconductors, microelectronics, and integrated lines. Special difficulties affect the chip's internal stress, resulting in additional chips Circuits, IC, processes, designs, devices, and packages are those of unconventional line arcs, such as bending, bending, and deformation, which must be increased by wafer dry Test, and MEMS recent trends show that the point away from the first solder joint, ultra low line arc, etc. (Figure polishing to eliminate, and this is also advanced wafer thinning Packaging technology in promoting the implementation of the new 2-1 semiconductor industry), therefore need on the equipment, and prepared from the capillary is one of the important characteristics of traditional equipment. The role played by the system in the process of cost performance has been greatly improved. With the development of packaging technology, the reduction of wafers The more prominent, emerging package level integration (PLI) and wire bonding technology to achieve simple, cost thinning technology, from the initial to meet the traditional single chip System package. (SiP) technology is the two most important, low cost, suitable for a variety of packaging forms, and in the process of connecting packages, chip heat dissipation and packaging thickness Representative. Packaging equipment is the dominant form of encapsulation. At present, all packaging pin size requirements have been developed to meet the requirements of integrated circuits Based on the rapid development of packaging technology, more than 90% of packages are connected by wire bonding. It enters the encapsulation technology to the chip ultra thin request. this The development of the technology is of great guiding significance. In the foreseeable future (now to 2020), some requirements will make the control accuracy of the reduction machine substantially improved And the interaction between them is obvious. It will be high in semiconductor packaging, especially in mid and low end, and need to integrate other polishing technology Bonding machine is the mainstream way of representing internal connection in packaging equipment. The development of flip chip meets the requirements, even in the mechanism of thinning The equipment is of high technology, large amount of use and the most rapid, but it is still subject to great changes in cost and reliability, for example, from the previous brittle processing The universal bonding mode is wire bonding. The limitation of half conduction can not replace the wire bond in a large scale and change to plastic processing. The development of the manufacturing process of the front body is always a direct and fast way of packaging internal connection. Dicing saw is also a very critical seal Rapidly reflected in the production technology behind the road. Integration, it will be a high performance / high cost internal assembly equipment. With chip size and thickness, cut The line width of the circuit will be prolonged by the current 90 nm continuous mode and wire bonding. The common channel width, pad size and spacing, and active region shall be considered 42 semiconductor industry.. 2008 / 2 A compound that is continuously narrowed away from the boundary Semiconductor materials (GaAs, InP) and the third generation Semiconductor materials (SiC, GaN) and hard brittleness are low - K is widely used in dielectric materials The following challenges are proposed for scribing process: Groove width is finer, even <10um; low - K value, hard and brittle materials, SiC/GaN, high hardness The material increases the difficulty of scribing; cutting chips Avoid large, ultra thin wafer cutting edges Control; mechanical stress, thermal stress, residual Miniaturization to increase the mechanical strength of chips; chip tables No contamination of the surface; no cutting of the MEMS device To reduce the price of an expensive crystal Roundness, area, utilization, etc; higher efficiency and productivity Yes, less operating costs, etc.. Because of the tensile strength of the wafer, the compressive strength is higher The weaker, the more sensitive to the external force of the machine, this Some challenges are related to conventional grinding wheel dicing techniques There is great difficulty or beyond reason On the technological limit. In addition, the accumulation of costs Machining range and machining accuracy of dicing saw, Reliability and stability are becoming more and more demanding This is required for both grinding and dicing machines Very difficult. At present, the most advanced in the world Cutting method for micro water guided laser cutting, use it to add The fragile, sensitive material of the project was not damaged at all, Even if the processing speed is very fast, it can also ensure high quality products Qualitative cutting. Ultraviolet laser scribing process in foreign countries For ripening, low K values have been widely applied in hard crisp Ultra thin GaAs wafer, sapphire substrate, SiC, Dicing line for GaN based devices and other materials. 3 trends in semiconductor device technology Semiconductor equipment is the basis of the semiconductor industry Stone, entered the nano era, the manufacturing technology is difficult Degrees further increased, in the direction of technology in depth At the same time, due to the advanced nature of semiconductor technology And extremely permeable, as well as accompanied by electrons The machine is short, small, light, thin and portable The miniaturization of electronic basic products Potential application fields of semiconductor special equipment More and more widely, to adapt to these changes, the semi conductive Body equipment technology will have the following technical trends. 3.1 device modularization As the integration of the device is higher and higher The line width is getting finer and thinner, and the number of process is more and more Big, Gao Chengpin in order to keep every process The modular system came into being. as Applied materials company, the latest CopperModule, a copper lead manufacturing system The. These include four main equipment, such as TaN barrier growth of CVD devices, copper Seed layer growth equipment, copper plating equipment, and CMP. As long as photolithography is done after Trench and Via The silicon chip is loaded with this layer of copper lead Automatic continuous completion in the system. If you want it done Multi layer copper leads for users only in the system Outside, complete lithography of different Trench and Via Multiple operations after. Modular system integration Ensure final product yield and wafer production Can. Great support for users. Believe fit Modular systems for different uses are semiconductors Direction of equipment development in the future [3]. 3.2, equipment technology integration Technology integration includes the application of new technologies, And to meet the latest semiconductor process requirements And integrate multiple technologies. Such as dicing machine in order to adapt Slotting more fine, no avalanche and other requirements, using the latest The laser technique is used as a cutting tool to cut quality Much higher than the grinding wheel dicing machine. And CMP devices, and Not just a single technique of chemical and mechanical planarization Instead, it integrates cleaning techniques and optical ends Detection technology and other multi gate technologies. 3.3 high precision and high efficiency of equipment High precision and high efficiency of equipment should be said It is contradictory, however, in the present semiconductor process Must be highly unified under the request. Bonding machine is the posterior process of IC production line Core devices, along with device design levels and health The rapid development of manufacturing technologies, especially closures The assembly process is changing with the need for bonding equipment It has higher precision, speed and accuracy than before Sex dependence. With the increase of the integration degree of IC chips, The pitch of the chip and the diameter of the solder ball are constant Reduce the alignment and alignment of the bonding equipment Higher demands are raised. Welding of table The maximum connecting area is no more than 400mm * 330mm, and the repeatability is highest, approaching 1 Micron, resolution reaches sub micron. And now The main bonding machine welding line speed is generally more than 10 Wire / sec, more advanced bonding machine has been more than 20 Line per second. The accuracy of bonding machine in welding line is improved The welding line speed is also greatly improved. 3.4, the equipment is more advanced The development of common process technology for components The turn over is assumed by the equipment developer. order Prior to the semiconductor equipment manufacturer's responsibilities have been From 70s, the hardware was simply provided For 80s, both hardware and equipment should be provided For software (including process menu), to 90s Equipment manufacturers, in addition to providing hardware equipment, Software (including process menu), but also bear the work Art control. Enter... After twenty-first Century, the system of equipment In addition to providing hardware equipment, software (including Process menu) and process control, Will also bear Total solution for process integration services The case. For this reason, some leading equipment manufacturers are large Many have set up a relatively advanced experiment Line or enhancement and joint development of process manufacturers, Both front and rear processes are taken into consideration by the equipment manufacturer Good process development. This model has become established A revolutionary change in preparation development [4]. Semiconductor industry.. 2008 / 243 [proverbs of life, prologue] There are no trails of the wings in the sky, but the birds have flied away from the lake There are no fine ripples, but the fish have swum. Life should be something As. In the field of semiconductor industry and semiconductor industry in China, because of A group of pioneers and warriors, they make us IC industry with a myriad talents, Glittering. We often think and to ask: what is the spirit of entrepreneurship And realm? What are their contributions and roles to society and industry? stay Here, the "semiconductor industry" opened the "life motto" column, so that wisdom Collide here, let the heart be shared here. Just as the famous scholar Peter... Luke said: "a group of entrepreneurs are innovators, and all do not have great originality. The same people are among the few of the factory directors and managers." Let's chase With their "life motto", talk to them and start a happy life Journey of the mind. Travel with your eyes, see the scenery, and go with your heart Travel, perception is life! To be good, to do a good job, and to be wise is nothing Opportunities are much more important than wisdom, and good people are more important than opportunities A decent man, it's a spur to myself. - President of East Power Electronics (Shanghai) Co., Ltd. Compared with the long river of man, man's life is very short, if one People in their later years can feel that they live a very full life, for society and people The most gratifying thing to do is to do something meaningful. - chairman of China micro semiconductor equipment (Shanghai) Co., Ltd. - Hangzhou general manager of Tai ho magnetic Electronics Co., Ltd. Independent innovation is the life of ANN, and this is also my personal life Words. A pound of cultivation, a reward. Employees grow with the company. - President of set Microelectronics (Shanghai) Co., Ltd. He who does his work is a real man. I feel like talking alone Reputation is very important. I like to be low-key, to make a connotation Person. - General Manager of minimally invasive semiconductor equipment (Shanghai) Co., Ltd. 44 semiconductor industry.. 2008 / 2 4, the development of domestic semiconductor equipment has broad prospects SEMI believes that there are about 40 semiconductor equipment suppliers in China Business, but few can pose a threat to overseas peers. But the technology is poor Not far from the middle and low end of the market, through market segmentation, most domestic production Business has a stable customer base, and the market advantage is relatively obvious. In the high In the field of end equipment, domestic manufacturers are technically very competitive with foreign manufacturers Difficult, although some breakthroughs in some devices, but overall technology or Backward foreign manufacturers, but also with the gradual decline in tariffs, the previous prices The advantages are gradually narrowing. However, the market for electronic and electronic basic products has been increasing day by day Mature, and has driven the semiconductor special equipment, including electronic equipment The rapid development of the market, there has been an unprecedented strong market drivers, The state and some local governments attach great importance to the development of this field. at home and abroad Investment, technology and talent have also been put in or are closely following this market, i... The R & D team and its development means of semiconductor equipment are developing rapidly Large, China's industrial supporting capacity is also increasing. It should be said that, in the face of many difficulties at the same time, China's semiconductor special The equipment manufacturing industry is also facing excellent opportunities for development Technical innovation and foreign cooperation will certainly develop rapidly in the near future For our country's electronic information industry to contribute more and better semiconductor specialty Use equipment. Reference: [1].20O6 / 2O07 Weng Shousong global semiconductor equipment market [J]. electronic industry special equipment, 2006, 12:14-16. [2] Weng. Shousong ten development trends of world semiconductor equipment [J]. set Circuit applications, 2004, 12:10-13. [3] Mo Roland. Progress in [J]. integration on world semiconductor equipment Circuit applications, 2002, 9:5-8. [4] Tong Jin. Current situation and development trend of foreign semiconductor equipment [J]. Special equipment for the electronics industry, 2006, 2:44-49.
本文档为【先进半导体设备制造技术及趋势(Advanced semiconductor equipment manufacturing technology and trends)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_036899
暂无简介~
格式:doc
大小:76KB
软件:Word
页数:24
分类:生活休闲
上传时间:2018-04-04
浏览量:43