首页 verilog中generate的使用(for循环的使用)

verilog中generate的使用(for循环的使用)

举报
开通vip

verilog中generate的使用(for循环的使用)Verilog中generate的使用 版本记录表 作者 版本号 日期 修改内容 王长友 V1.00.a 2015.08.20 第一次创建   Verilog中使用generate 中的for循环可以节约代码量,提供方便。并且for循环是并行执行。     如果将for循环写在always 块下,for循环是串行执行的,会增加很大的布线困难。但 是generate下的for循环是并行执行(可通过RTL图看出),可以将always 写在geneerate  fo...

verilog中generate的使用(for循环的使用)
Verilog中generate的使用 版本记录表 作者 版本号 日期 修改内容 王长友 V1.00.a 2015.08.20 第一次创建   Verilog中使用generate 中的for循环可以节约代码量,提供方便。并且for循环是并行执行。     如果将for循环写在always 块下,for循环是串行执行的,会增加很大的布线困难。但 是generate下的for循环是并行执行(可通过RTL图看出),可以将always 写在geneerate  for循环下,如下 generate  for 下不止可以调用always块,而且还可以调用IP核、原语、模块。
本文档为【verilog中generate的使用(for循环的使用)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_731942
暂无简介~
格式:doc
大小:55KB
软件:Word
页数:1
分类:生活休闲
上传时间:2017-09-20
浏览量:133