首页 简易频率计的设计仿真与制作

简易频率计的设计仿真与制作

举报
开通vip

简易频率计的设计仿真与制作简易频率计的设计仿真与制作 武汉理工大学《数字电子技术基础》课程设计说明书 课程设计任务书 学生姓名: 专业班级: 指导教师: 工作单位: 信息工程学院 题 目: 简易频率计的设计仿真与制作 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路 和必要的门电路等,也可以使用单片机系统设计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对简易频率计的设计、仿真、装配与...

简易频率计的设计仿真与制作
简易频率计的设计仿真与制作 武汉理工大学《数字电子技术基础》课程设计说明书 课程设计任务书 学生姓名: 专业班级: 指导教师: 工作单位: 信息 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 学院 题 目: 简易频率计的设计仿真与制作 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路 和必要的门电路等,也可以使用单片机系统设计。用数码管显示频率计数值。 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对简易频率计的设计、仿真、装配与调试。 2、技术要求: 错误~未找到引用源。? 设计一个频率计。要求用4位7段数码管显示待测频率,格式为 0000Hz。 错误~未找到引用源。?测量频率范围:10~9999Hz。 ?测量信号类型:正弦波、方波和三角波。 ?测量信号幅值:0.5~5V。 ?设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。 ?确定设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作 规范 编程规范下载gsp规范下载钢格栅规范下载警徽规范下载建设厅规范下载 》要求撰写设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1) 2010 年 6 月 26~27 日,查阅相关资料,学习设计原理。 2) 2010 年 6 月 28~30 日, 方案选择和电路设计仿真。 3) 2010 年 7 月 1~3 日, 电路调试和设计说明书撰写。 4) 2010 年 7 月 4 日上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 - 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 系主任(或责任教师)签名: 年 月 日 简易频率计的设计仿真与制作 目 录 1 Protues软件介绍……………………………………….3 2 设计要求 ....... …………………………………………………4 2.1整体功能要求……………………………………………4 2.2系统结构要求 ………………………………………………...4 2.3测试指标„„„„„„„„„„„„„„„„„„„„„4 3单元电路设计与分析…………………………………..5 3.1 数字频率计的基本原理„„„„„„„„„„„„„„„5 3.2 数字频率的设计„„„„„„„„„„„„„„„„„„6 3.2.1 放大整形电路„„„„„„„„„„„„„„„„„„„„6 3.2.2 时基电路„„„„„„„„„„„„„„„„„„„„„„6 3.2.3 逻辑控制电路„„„„„„„„„„„„„„„„„„„„7 3.2.4 输出实现电路„„„„„„„„„„„„„„„„„„„„8 4整体电路的设计仿真与调试…………………………….10 4.1整机电路图…………………………………………….10 4.2 元件清单……………………………………………….12 5课程设计心得.......…………………………………….14 6参考文献……………………………………………………15 7成绩评定表………………………………………………..16 - 2 - 武汉理工大学《数字电子技术基础》课程设计说明书 1 Protues 软件介绍 Proteus 是目前最好的模拟单片机外围器件的工具,它可以仿真51 系列、AVR,PIC 等常用的MCU 及其外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI 器件,部分IIC 器件...)。本文章基于ProteusPRO6.7SP3和KEIL uVision3 软件。当然,软件仿真精度有限,而且不可能所有的器件都找得到相应的仿真模型,用开发板和仿真器当然是最好选择,可是对于单片机爱好者,或者简单的开发应该是比较好的选择。Proteus 与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU 的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。 Protues 软件与Keil uVision 的结合 对于初次使用Protues 软件的人可能还不知道如何设置,现在把设置步骤简介如下,仅供参考(本文章只讨论在单机上结合,在两个联网机器使用由于篇幅限制不在此讨论):设置步骤如下: (1) 把proteus 安装目录下 VDM51.dll ( C:\ProgramFiles\LabcenterElectronics\Proteus6\Professional\MODELS)文件复制到 Keil 安装目录的 \C51\BIN 目录中;(2) 编辑C51 里tools.ini 文件, 加入:TDRV1=BIN\VDM51.DLL("PROTEUS VSM MONITOR-51 DRIVER");(3)Keil uVision 里设置: project-->options forproject-->debug tab;(4) 选中use proteus VSM monitor 51( 如果想用两台电脑仿真,双击setting,输入IP 地址 或者DNS name);(5) 载入proteus 文件;(6)proteus 里选择DEBUG-->use remote debug monitor;进入KEIL 的project 菜单option for target '工程名'。在DEBUG 选项中右栏上部的下拉菜选中 Proteus VSMMonitor-51 Driver。 在进入seting,如果同一台机IP 名为127.0.0.1,如不是同一台机则填另一 台的IP 地址。端口号一定为8000 注意:可以在一台机器上运行keil,另一台中运行proteus 进行远程仿真.(7)打开KEIL uVision, 按F5 开始仿真. proteus 的工作过程 运行proteus 的ISIS 程序后,进入该仿真软件的主界面。在工作前,要设置view 菜单下的捕捉对齐和system 下的颜色、图形界面大小等项目。通过工具栏中的p(从库中选择 - 3 - 武汉理工大学《数字电子技术基础》课程设计说明书 元件命令)命令,在pick devices 窗口中选择电路所需的元件,放置元件并调整其相对位置,元件参数设置,元器件间连线,编写程序;在source 菜单的Define code generation tools 菜单命令下,选择程序编译的工具、路径、扩展名等项目;在source菜单的Add/remove source files 命令下,加入单片机硬件电路的对应程序;通过debug 菜单的相应命令仿真程序和电路的运行情况。Proteus 软件所提供了30 多个元件库,数千种元件。元件涉及到数字和模拟、交流和直流等。 Proteus 软件所提供的调试手段 Proteus 提供了比较丰富的测试信号用于电路的测试。这些测试信号包括模拟信号和数字信号。对于单片机硬件电路和软件的调试,Proteus 提供了两种方法:一种是系统总体执行效果,一种是对软件的分步调 试以看具体的执行情况。对于总体执行效果的调试方法, 菜单下的只需要执行debug 菜单下的execute 菜单项或F12 快捷键启动执行,用debug pause animation 菜单项或pause 键暂停系统的运行;或用debug 菜单下的stop animation 菜单项或shift-break 组合键停止系统的运行。其运行方式也可以选择工具栏中的相应工具进行。对于软件的分步调试, 应先执行debug 菜单下的start/restart debugging 菜单项命令,此时可以选择stepover 、step into 和 step out 命令执行程序(可以用快捷键F10、F11 和ctrl+F11),执行的效果是单句执行、进入子程序执行和跳出子程序执行。在执行了start / restart debuging命令后,在debug 菜单的下面要出现仿真中所涉及到的软件列表和单片机的系统资源等,可供调试时分析和查看。 在教学中利用该软件,除了能教单片机的具体课程内容外,还可以教会学生应用该工具的能力,为今后的工作打下基础。 2设计要求 2.1整体功能要求 设计一个数字频率计,要求用4位7段数码管显示待测频率,格式为0000Hz,用以测定正弦波,方波和三角波。 2.2系统结构要求 设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1S。 2.3测试指标 测量频率范围:10~9999Hz。 测量信号类型:正弦波、方波和三角波。 - 4 - 武汉理工大学《数字电子技术基础》课程设计说明书 测量信号幅值:0.5~5V。 确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3单元电路设计与分析 3.1 数字频率计的基本原理 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和类似脉冲信号的频率,而且还可以测量它们的周期。数字频率计在测量其他物理量如转速,振动频率等方面获得广泛应用。 众所周知,所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为 f,N/T 因此,数字频率计测频率时的原理框图如下。其中脉冲形成电路的作用是:将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间也准确的等于1s。闸门电路由标准的秒信号进行控制,当秒信号来到时,闸门开通被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N为在1s时间内的累计数,所以被测信号的频率fx =N Hz。 从数字频率计的基本原理出发,根据设计要求,得到如图一所示的电路框图。 频率计的组成框图 - 5 - 武汉理工大学《数字电子技术基础》课程设计说明书 a fbg 译码器ec d 锁存器 计数器单稳2锁存 清零闸门 闸门控制信号x 放大器整形单稳1 图一、 数字频率计框图 下面介绍结构较为简单的数字式频率计,电路组成如图所示。它是由两位计数器、控制闸 信号发生器分频器门、秒时基发生电路和被测信号输入电路组成。 待测周期信号 f3.2 数字频率的设计 1S3.2.1 放大整形电路 其电路图如图二 图二 放大整形电路 放大整形电路由晶体管3DG100与NE555等组成,其中3DGl00组成放大器将输入频率。 为f 的周期信号如正弦波、三角波等进行放大,NE555施密特触发器,它对放大器的输出信x - 6 - 武汉理工大学《数字电子技术基础》课程设计说明书 号进行整形,使之成为矩形脉冲。 3.2.2 时基电路 其电路图如图三所示 图三 时基电路 时基电路的作用是产生一个标准时间信号(高电平持续时间为 1s),由定时器555构成的多谐振荡器产生。由公式t1=0.7(R1+R2)C和t2=0.7R2C,要使其产生1s的门信号,通过计算可以得出C=10uF,R1大约取47K,R2大约取39K左右,则振荡器的输出波形如图中的波形II所示,其中t1=1s,t2=0.25s。 3.2.3 逻辑控制电路 其电路图如图四所示 图四 逻辑控制电路 - 7 - 武汉理工大学《数字电子技术基础》课程设计说明书 根据图所示五波形,在计数信号II结束时产生锁存信号IV,锁存信号IV 结束时产生 清“0”信号 V。脉冲信号IV和V可由两个单稳态触发器74LS123产生,它们的脉冲宽度由电路的时间常数决定。 设所存信号IV和清“0”信号V的脉冲宽度tt=0.02s,则得: w相同,如果要求w t=0.45RC=0.02s wextext 若取 R=10kΩ,则 C=t/0.45R=4.4μF。 extextwext 由74LS123的功能(见下表1)可得,当触发脉冲从1A端输入时,在触发脉冲的负跳变作用下,输出端1Q可获得一负脉冲,其波形关系正好满足图1所示的波形IV和V的要求。手动复位开关S按下时,计数器清“0”。 图五——数字频率计波形图 表一: 表一 74LS123功能表 - 8 - 武汉理工大学《数字电子技术基础》课程设计说明书 说明:1.外接电容接在 Cext(正)和 Rext/Cext(正)之间 2.为了改善脉冲宽度的精度和重复性,可在 Rext/Cext 和Vcc 之间接外接电阻。 3.为了得到可变脉冲宽度,可在 Rext/Cext 和 Vcc 之间接接可变电阻。 H,高电平 L,低电平 X,任意 ?,低到高电平跳变 ,一个高电平脉冲 ?,高到低电平跳变 ,一个低电平脉冲 3.2.4 输出实现电路 其电路图如图六所示 图六——输出实现电路 该部分由计数器电路,锁存器电路,显示译码器与数码管组成 3.2.4.1计数器电路 计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为 9999Hz ,应采用 4 位十进制计数器,可以选用现成的10进制集成计数器。本电路采用74LS90芯片,其功能如表二: 表二 - 9 - 武汉理工大学《数字电子技术基础》课程设计说明书 表二——74LS90的不同接线方法 3.2.4.2锁存器电路 1S )内计数器的计数结果(被测信号频率)必须经锁定后才能获在确定的时间( 得稳定的显示值。锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的8位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。本电路采用了74LS273锁存器对计数器送来的数据进行寄存的。 3.2.4.3显示译码器与数码管 显示译码器的作用是把用 BCD 码表示的10进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。选用显示译码器时其输出方式必须与数码管匹配。本项目显示数码管采用的是共阴极双列直插式的,所以其显示译码器选用了74LS48来驱动这四个共阴数码管了。 4整体电路的设计仿真与调试 4.1整机电路图 整个电路系统工作原理是:时基信号由555定时器构成一个较稳定的多谐振荡器,经整形,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为74LS90计数器的时钟信号,计数器即开始记录时钟的个数,计数的个数经74LS273锁存器锁存,然后,经74LS48译码驱动,数码管实时显示被测信号频率的数值,整个计数和译码过程必须在74LS123的控制下进行的,这样就达到了测量频率的目的。 整个电路系统原理图如图七所示:当输入正弦信号时,电压为3V,频率66HZ仿真如下 - 10 - 武汉理工大学《数字电子技术基础》课程设计说明书 图七——整个电路图 器件检测及方法 1基准时间信号检测 依次用示波器检测NE555 时基脉冲输出信号,74LS123 组成的分频输出信号以及由74LS90组成的计数器的输出波形,并用数字万用表测的电压与波形对照。如无输出波形或波形形状不对,则应对 NE555、74LS123、74LS90 各引脚的电平或信号波形进行检测,并检查电路线路是否连通,以消除故障,直至数码管正常显示。 2输入信号检测 从被测信号输入端输入幅值在 1V 左右频率为 1KHz 以内的正弦信号,如果电路正 - 11 - 武汉理工大学《数字电子技术基础》课程设计说明书 常,数码管可以显示被测信号的频率。如果数码管没有显示,或显示值明显偏离输入信号频率,则作进一步检测。 3 控制门检测 检测控制门74LS00 输出信号波形,正常时,每间隔 1S 时间,可以在荧屏上观测到被测信号的矩形波。如观测不到波形,则应检测控制门的两个输入端的信号是否正常 , 并通过进一步的检测找到故障电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测计数器电路。 4 计数器电路的检测 依次检测4个计数器74LS90时钟端的输入波形,正常时,相邻计数器时钟端的波形频率依次相差10倍。如频率关系不一致或波形不正常,则应对计数器和反馈门的各引脚电平与波形进行检测。正常情况各电平值或波形应与电路中给出的状态一致。通过检测与分析找出原因,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检 测锁存器电路。 5锁存电路的检测 依次检测 74LS273 锁存器各引脚的电平与波形。正常情况各电平值应与电路中给出的状态一致。其中,第 11 脚的电平每隔 1S 钟跳变一次。如不正常,则应检查电路,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测译码驱动器电路。 6 显示译码电路与数码管显示电路的检测 检测显示译码器74LS48各控制端与电源端引脚的电平,同时检测数码管各段对应引脚的电平及公共端的电平。通过检测与分析找出故障。 4.2 元件清单 元件 数量 元件 数量 47uF电容 一个 可变电阻200K 一个 100uF电容 一个 可变电阻1000 一个 10uF电容 两个 NE555 两个 4.7 uF电容 两个 74LS48 四个 NPN三极管T618 一个 74LS273 两个 47K电阻 两个 74LS90 四个 57K电阻 一个 74LS123 两个 - 12 - 武汉理工大学《数字电子技术基础》课程设计说明书 39K电阻 两个 与非门 两个 共阴数码管 四个 1K电阻 两个 10K电阻 两个 导线 若干 - 13 - 武汉理工大学《数字电子技术基础》课程设计说明书 5课程设计心得 - 14 - 武汉理工大学《数字电子技术基础》课程设计说明书 6参考文献 1. 康华光.《电子技术基础 数字部分(第五版)》武汉:华中科技大学出版社2006 2. U.Tietze Ch.Schenk.Electronic Circuits.Handbook for Design and Application,Berrlin,newyork:Springer-Verlag,2005 3.张顺兴.数字电路与系统设计.第1版.东南大学出版社,2004 .孙肖子.模拟电子技术基础.第1版.西安电子科技大学出版社,2001.1 4 5.谢自美.电子线路设计•实验•测试.第2版.华中科技大学出版社,2000.7 6.张豫滇.电子电路课程设计.第1版.河海大学出版社,2005.8 - 15 - 武汉理工大学《数字电子技术基础》课程设计说明书 7成绩评定表 本科生课程设计成绩评定表 姓 名 性 别 专业、班级 课程设计题目: 课程设计答辩或质疑记录: 成绩评定依据: 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年 月 日 - 16 -
本文档为【简易频率计的设计仿真与制作】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_597436
暂无简介~
格式:doc
大小:148KB
软件:Word
页数:16
分类:生活休闲
上传时间:2017-12-21
浏览量:26