首页 DAC0832接口电路及程序设计

DAC0832接口电路及程序设计

举报
开通vip

DAC0832接口电路及程序设计DAC0832接口电路及程序设计 武汉理工大学《FPGA原理及应用》课程设计报告书 附件1: 学 号: 0121109320507 DAC0832接口电路 题 目 及程序设计 学 院 信息工程学院 专 业 通信工程 班 级 信息sy1101 芦启超 姓 名 指导教师 陈适 2 014年 6月18日 武汉理工大学《FPGA原理及应用》课程设计报告书 课程设计任务书 学生姓名: 芦启超 专业班级: 信息sy1101 指导教师: 陈适 工作单位: 信息工程学院 题 目: DAC0832接口...

DAC0832接口电路及程序设计
DAC0832接口电路及程序设计 武汉理工大学《FPGA原理及应用》课程设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 书 附件1: 学 号: 0121109320507 DAC0832接口电路 题 目 及程序设计 学 院 信息工程学院 专 业 通信工程 班 级 信息sy1101 芦启超 姓 名 指导教师 陈适 2 014年 6月18日 武汉理工大学《FPGA原理及应用》课程设计报告书 课程设计任务书 学生姓名: 芦启超 专业班级: 信息sy1101 指导教师: 陈适 工作单位: 信息工程学院 题 目: DAC0832接口电路及程序设计 初始条件: (1) Quartus II、ISE 等软件; (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 主要任务: (1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。 时间安排: (1)2014 年6月11日--2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。 (2)2014 年6 月18 日进行理论答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 武汉理工大学《FPGA原理及应用》课程设计报告书 目 录 摘 要 ........................................................................................................................... I Abstract ...........................................................................................................................II 1 基本原理 ...................................................................................................................... 1 1.1 系统背景 ............................................................................................................ 1 1.2 FPGA最小系统简介............................................................................................. 1 1.3 DAC0832简介 ..................................................................................................... 2 1.4 DAC0832 接口电路设计 ...................................................................................... 2 5 DAC0832 接口电路程序设计 ............................................................................... 3 1. 1.5.1 DAC0832 时序........................................................................................... 3 1.5.2 DAC0832接口电路输出控制程序 ............................................................... 4 2 仿真结果与分析............................................................................................................ 7 2.1 关于 Quartus II 软件........................................................................................... 7 2.1.1 Quartus II 的优点 ....................................................................................... 7 2.1.2 Quartus II 对器件的支持............................................................................. 7 2.1.3 Quartus II 对第三方 EDA 工具的支持........................................................ 8 2.2 输出仿真结果 ..................................................................................................... 8 3 结论 ............................................................................................................................10 总结与体会 ..................................................................................................................... 11 参考文献.........................................................................................................................12 武汉理工大学《FPGA原理及应用》课程设计报告书 摘 要 本次课程设计是使用Quartus ii 进行仿真,基于VHDL硬件描述语言,完成了DAC0832接口电路及程序的设计。DAC0832是采用CMOS/Si-Cr工艺实现的8位D/A转换器。该芯片包含8位输入寄存器、8位DAC寄存器、8位D/A转换器,可以实现D/A转换。 本次课程设计主要研究的是VHDL语言,尝试DAC0832接口电路的设计与仿真,学会Quartus ii 的基本使用,加强对FPGA的理解。 关键词:DAC0832;VHDL;Quartus ii 武汉理工大学《FPGA原理及应用》课程设计报告书 Abstract The curriculum design use Quartus ii to simulate, which is based on the VHDL hardware description language and complete the design of DAC0832 interface circuit and program. DAC0832 is a 8 bit D/A converter with CMOS/Si-Cr technology. The chip contains 8 bit input register, a 8 bit DAC register, a 8 bit D/A converter, can realize D/A conversion. Study design this course is the VHDL language, try to design and Simulation of the DAC0832 interface circuit, learn how to use Quartus ii basicly, strengthen the understanding of FPGA. Key Words:DAC0832;VHDL;Quartus ii 武汉理工大学《FPGA原理及应用》课程设计报告书 1 基本原理 1.1 系统背景 现场可编程逻辑门阵列FPGA,与PAL、GAL器件相比,他的优点是可以实时地对外加或内置得RAM或PROM编程,实施地改变迄今功能,实现现场可编程(基于EPROM型)或在线重配置(基于RAM型)。是科学试验、演技研制、小批量产品生产的最佳选择其间。自上世纪70年代单片机问世以来,它以其体积小、控制功能齐全、价格低廉等特点赢得了广泛的好评与应用。由单片机构成的应用系统有有体积小、功耗低控制功能强的特点,它用利于产品的小型化、多功能化和智能化,还有助与提高仪表的精度和准确度,简化结构、减小体积与重量,便于携带与使用,降低成本,增强抗干扰能力,便于增加显示、报警和诊断功能。因而许多现代仪器仪表都用到了FPGA DAC0832是8分辨率的D/A转换集成芯片。与微处理器完全兼容。这个D/A芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到广泛的应用。 1.2 FPGA最小系统简介 晶复电 振位源 AS 计接口输算出机 下载 课程表模板下载资产负债表下载英语单词下载学习机资料下载励志文章下载 电缆FPGA / CPLD芯片接接JTAG口口接口 输入接口图1.1 FPGA最小系统 1 武汉理工大学《FPGA原理及应用》课程设计报告书 FPGA最小系统是可以使FPGA正常工作的最简单的系统。它的外 围电路尽量最少,只包括FPGA必要的控制电路。 一般所说的FPGA的最小系统主要包括:FPGA芯片、下载电路、外部时钟、复位电路和电源。如果需要使用NIOS II软嵌入式处理器还要包括:SDRAM和Flash。一般以上这些组件是FPGA最小系统的组成部分 。如图1.1所示。 1.3 DAC0832简介 8 8 8 位 VREF 位 位 D7~ 输 D/A D/A IOUT2 D0 入 寄 转 寄 IOUT1 存 换 存 器 器 器 LE1 LE1 Rfb ILE & AGND CS & WR1 VCC WR2 DGND & XFER 图1.2 DAC0832内部结构图 DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。其内部结构如图1.2所示。 1.4 DAC0832 接口电路设计 DAC0832中有两级锁存器,第一级即输入寄存器,第二级即DAC寄存器,可以工作在双缓冲方式下。 其接口电路如图1.3所示: 2 武汉理工大学《FPGA原理及应用》课程设计报告书 图1.3 FPGA与DAC0832接口电路原理图 FPGA_IO1~8向DAC0832的数据输入口(DI0~DI7)输送数据。 FPGA_IO9提供DAC0832数据锁存允许控制信号ILE,高电平有效。 FPGA_IO10提供DAC0832控制信号(CS:片选信号;Xfer:数据传输控制信号;WR1、WR2:DAC寄存器写选通信号),低电平有效。 Iout1、Iout2、Rfb与运算放大器LM324完成电流/电压的转换(DAC0832属电流输出型)。 1.5 DAC0832 接口电路程序设计 1.5.1 DAC0832 时序 根据DAC0832 输出控制时序,利用接口电路图,通过改变输出数据设计一 3 武汉理工大学《FPGA原理及应用》课程设计报告书 个锯齿波发生器。DAC0832是8位的D/A转换器,转换周期为1μs。锯齿波形数据可以由256个点构成,每个点的数据长度为8位。又因为FPGA的系统时钟为50MHz,必须对其进行分频处理,这里进行64分频,得到的锯齿波的频率为762.9Hz。图1.4为DAC0832 输出控制时序图。 图1.4 DAC0832 输出控制时序图 1.5.2 DAC0832接口电路输出控制程序 DAC0832 接口电路程序如下: --文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 4 武汉理工大学《FPGA原理及应用》课程设计报告书 --最后修改日期:2014.6.15 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity DAC0832 is port(clk:in std_logic; --系统时钟 rst:in std_logic; --复位信号 ile:out std_logic; --数据锁存允许信号 cont:out std_logic; --控制信号(WR1、WR2、CS、Xfer) data_out:out std_logic_vector(7 downto 0)); --波形数据输出 end DAC0832; architecture behav of DAC0832 is signal q:integer range 0 to 63; --计数器 signal data:std_logic_vector(7 downto 0); --波形数据 Begin process(clk) begin if rst='1' then q<=0; --复位,对计数器q清零 elsif clk'event and clk='1' then if q=63 then q<=0; --此IF语句对系统时钟进行64分频 if data="11111111" then data<="00000000"; --此IF语句产生锯齿波波形数据 else data<=data+1; end if; else q<=q+1; end if; 5 武汉理工大学《FPGA原理及应用》课程设计报告书 end if; end process; ile<='1';cont<='0';data_out<=data; --ile、cont赋值;波形数据输出; end behav; 6 武汉理工大学《FPGA原理及应用》课程设计报告书 2 仿真结果与分析 2.1 关于 Quartus II 软件 Max+plus II 作为 Altera 的上一代 PLD 设计软件,由于其出色的易用性而得到了广泛的应用。目前 Altera 已经停止了对 Max+plus II 的更新支持。Quartus II 是 Altera公司继 Max+plus II 之后开发的一种针对其公司生产的系列 CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从 4.0 版到 10.0 版,这里介绍的是 Quartus II 8.0 版,该软件有如下几个显著的特点: 2.1.1 Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用 Tcl 脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 2.1.2 Quartus II 对器件的支持 Quartus II 支持 Altera 公司的 MAX 3000A 系列、MAX 7000 系列、MAX 9000 系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持 MAX II CPLD 系列、Cyclone 系列、Cyclone II、Stratix II 系列、Stratix GX 系列等。支持 IP 核,包含了 LPM/MegaFunction 宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和 DSP Builder 工具与 Matlab/Simulink 相结合,可以方便地实现各种 DSP 应用系统;支持 Altera 7 武汉理工大学《FPGA原理及应用》课程设计报告书 的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 2.1.3 Quartus II 对第三方 EDA 工具的支持 对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA 工具。 Altera 的 Quartus II 可编程逻辑软件属于第四代 PLD 开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于 Internet 的协作设计。Quartus 平台与 Cadence、ExemplarLogic、 MentorGraphics、Synopsys 和 Synplicity 等 EDA 供应商的开发工具相兼容。改进了软件的 LogicLock 模块设计功能,增添 了 FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。 用户首先对所做项目进行设计,明确设计目的,设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。为设计者提供了完善的设计环境,适于模块的层次化设计方法。 2.2 输出仿真结果 输出波形如图2.1所示: 8 武汉理工大学《FPGA原理及应用》课程设计报告书 图2.1 输出波形图 数据流分析结果如图2.2所示: 由仿真结果我们可以看出,,复位后计数器q在每个时钟周期计数一次,基本基本达到设计要求。由此可见,我们的仿真是正确的。 9 武汉理工大学《FPGA原理及应用》课程设计报告书 3 结论 FPGA与DAC的结合使得D/A转换的效率大大提高,还可以运用到多个方面。本文基于FPGA实现DAC0832的接口电路,更加深入的了解了两种芯片的功能。在实际运用中,可以将DAC部分集成到一块芯片上,这样即提高了 FPGA 内部结构的利用率,又可以降低系统的成本。 而且,通过本次课程设计,我了解的FPGA与DAC0832接口电路的功能,认识到可以将其推广到更多的地方,比如基于FPGA和DAC0832的数字滤波器和程控放大器等等。这说明了本电路的基础性和广泛的运用性。通过这次设计让我受益匪浅。 10 武汉理工大学《FPGA原理及应用》课程设计报告书 总结与体会 这次的课程设计主要是让我们学习使用 Quartus ii ,利用 VHDL 语言来实现 MFSK的设计和仿真。经过这次课程设计,我更进一步的加强了对 FPGA 的认识,学会了对 VHDL 语言的基本运用,加深了对 MFSK 的理解,发现了他的神奇。一开始,我从最基本的 VHDL 语言学起,一步一步逐渐学会 Quartus ii的应用。经过查阅了相关资料,慢慢的学会了 DAC0832电路的设计,大大提升了我们对 FPGA 的兴趣。我觉得通过这次课设之后,我发现 FPGA 强大的功能,决定继续深入的学习这个软件。 11 武汉理工大学《FPGA原理及应用》课程设计报告书 参考文献 [1]VHDL数字电路设计教程/(巴西)佩德罗尼著;乔卢峰译./北京:电子工业出版社,2013.1 [2]电子设计自动化应用技术—FPGA应用篇/路而红主编./北京:高等教育出版社,2009.11 [3]张学平,王应生等.基于 FPGA 的 OQPSK 解调器的设计与实现[J].微计算机信 息,2006,4-2:155-157 [4]黄智伟, FPGA 系统设计与实践[M].北京:电子工业出版社,2005 [5]董在望,通信电路原理[M].北京:高等教育出版社,2002 [4]侯伯亨顾新. VHDL 硬件描述语言与数字逻辑电路设计. [M].西安. 西安电子 科技大学出版社,1999 12 武汉理工大学《FPGA原理及应用》课程设计报告书 本科生课程设计成绩评定表 姓 名 芦启超 性 别 男 专业、班级 信息sy1101班 课程设计题目:DAC0832接口电路及程序设计 课程设计答辩或质疑记录: 成绩评定依据: 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年 月 日 武汉理工大学《FPGA原理及应用》课程设计报告书 PET/CT示踪剂 18F-FDG(氟代脱氧葡萄糖) 氟代脱氧葡萄糖 氟代脱氧葡萄糖是2-脱氧葡萄糖的氟代衍生物。其完整的化学名称为2-氟-2-脱氧-D- 武汉理工大学《FPGA原理及应用》课程设计报告书 葡萄糖,通常简称为18F-FDG或FDG。FDG最常用于正电子发射断层扫描(PET)类的医学成像设备:FDG分子之中的氟选用的是属于正电子发射型放射性同位素的氟-18(fluorine-18,F-18,18F,18氟),从而成为18F-FDG(氟-[18F]脱氧葡糖)。在向病人(患者,病患)体内注射FDG之后,PET扫描仪可以构建出反映FDG体内分布情况的图像。接着,核医学医师或放射医师对这些图像加以评估,从而作出关于各种医学健康状况的诊断。 历史 二十世纪70年代,美国布鲁克海文国家实验室(Brookhaven National Laboratory)的Tatsuo Ido首先完成了18F-FDG的合成。1976年8月,宾夕法尼亚大学的Abass Alavi首次将这种化合物施用于两名正常的人类志愿者。其采用普通核素扫描仪(非PET扫描仪)所获得的脑部图像,表明了FDG在脑部的浓聚(参见下文所示的历史参考文献)。 作用机理与代谢命运 作为一种葡萄糖类似物,FDG将为葡萄糖高利用率细胞(high-glucose-using cells)所摄取,如脑、肾脏以及癌细胞。在此类细胞内,磷酸化过程将会阻止葡萄糖以原有的完整形式从细胞之中释放出来。葡萄糖之中的2位氧乃是后续糖酵解所必需的;因而,FDG与2-脱氧-D-葡萄糖相同,在细胞内无法继续代谢;这样,在放射性衰变之前,所形成的FDG-6-磷酸将不会发生糖酵解。结果,18F-FDG 的分布情况就会很好地反映体内细胞对葡萄糖的摄取和磷酸化的分布情况。 在FDG发生衰变之前,FDG的代谢分解或利用会因为其分子之中2'位上的氟而受到抑制。不过,FDG发生放射性衰变之后,其中的氟将转变为18O;而且,在从环境当中获取一个H+之后,FDG的衰变产物就变成了葡萄糖-6-磷酸,而其2'位上的标记则变为无害的非放射性“重氧”(heavy oxygen,oxygen-18);这样,该衰变产物通常就可以按照普通葡萄糖的方式进行代谢。 临床应用 在PET成像方面,18F-FDG可用于评估心脏、肺脏以及脑部的葡萄糖代谢状况。同时,18F-FDG还在肿瘤学方面用于肿瘤成像。在被细胞摄取之后,18F-FDG将由己糖激酶(在快速生长型恶性肿瘤之中,线粒体型己糖激酶显著升高)),加以磷酸化,并为代谢活跃的组织所滞留,如大多数类型的恶性肿瘤。因此,FDG-PET可用于癌症的诊断、分期(staging)和治疗监测(treatment monitoring),尤其是对于霍奇金氏病(Hodgkin's disease,淋巴肉芽肿病,何杰金病)、非霍奇金氏淋巴瘤(non-Hodgkin's lymphoma,非何杰金氏淋巴瘤)、结直肠癌(colorectal cancer)、乳腺癌、黑色素瘤以及肺癌。另外,FDG-PET还已经用于阿耳茨海默氏病(Alzheimer's disease,早老性痴呆)的诊断。 在旨在查找肿瘤或转移性疾病(metastatic disease)的体部扫描应用当中,通常是将一剂FDG溶液(通常为5至10毫居里,或者说200至400兆贝克勒尔)迅速注射到正在向病人静脉之中滴注生理盐水的管路当中。此前,病人已经持续禁食至少6小时,且血糖水平适当较低(对于某些糖尿病病人来说,这是个问题;当血糖水平高于180 mg/dL = 10 mmol/L时,PET扫描中心通常不会为病人施用该放射性药物;对于此类病人,必须重新安排PET检查)。在给予FDG之后,病人必须等候大约1个小时,以便FDG在体内 武汉理工大学《FPGA原理及应用》课程设计报告书 充分分布,为那些利用葡萄糖的器官和组织所摄取;在此期间,病人必须尽可能减少身体活动,以便尽量减少肌肉对于这种放射性葡萄糖的摄取(当我们所感兴趣的器官位于身体内部之时,这种摄取会造成不必要的伪影(artifacts,人工假象))。接着,就会将病人置于PET扫描仪当中,进行一系列的扫描(一次或多次);这些扫描可能要花费20分钟直至1个小时的时间(每次PET检查,往往只会对大约体长的四分之一进行成像)。 生产与配送手段 医用回旋加速器(medical cyclotron)之中用于产生18F的高能粒子轰击条件(bombardment conditions)会破坏像脱氧葡萄糖(deoxyglucose,脱氧葡糖)或葡萄糖之类的有机物分子,因此必须首先在回旋加速器之中制备出氟化物形式的放射性18F。这可以通过采用氘核(deuterons,重氢核)轰击氖-20来完成;但在通常情况下,18F的制备是这样完成的:采用质子轰击富18O水(18O-enriched water,重氧水),导致18O之中发生(p,n)核反应(中子脱出,或者说散裂(spallation)),从而产生出具有放射性核素标记的氢氟酸(hydrofluoric acid,HF)形式的18F。接着,将这种不断快速衰变的18F -(18-氟化物,18-fluoride)收集起来,并立即在“热室(hot cell)(放射性同位素化学制备室)”之中,借助于一系列自动的化学反应(亲核取代反应或亲电取代反应),将其连接到脱氧葡萄糖之上。之后,采取尽可能最快的方式,将经过放射性核素标记的FDG化合物(18F的衰变限定其半衰期仅为109.8分钟)迅速运送到使用地点。为了将PET扫描检查项目的地区覆盖范围拓展到那些距离生产这种放射性同位素标记化合物的回旋加速器数百公里之遥的医学分子影像中心,其中可能还会使用飞机空运服务。 最近,用于制备FDG,备有自屏蔽(integral shielding,一体化屏蔽,一体化防护)以及便携式化学工作站(portable chemistry stations)的现场式回旋加速器(on-site cyclotrons),已经伴随PET扫描仪落户到了偏远医院。这种技术在未来具有一定的前景,有望避免因为要将FDG从生产地点运送到使用地点而造成的忙乱。
本文档为【DAC0832接口电路及程序设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_353097
暂无简介~
格式:doc
大小:134KB
软件:Word
页数:18
分类:
上传时间:2017-10-06
浏览量:35