首页 8位数据锁存器

8位数据锁存器

举报
开通vip

8位数据锁存器8位数据锁存器 -- -- -------------------------------------------------------------------------------- ---- -- DESCRIPTION : Flip-flop D type -- Width: 8 -- Clock active: high -- Synchronous clear active: high -- Synchronous set active: high -- Clock enable acti...

8位数据锁存器
8位数据锁存器 -- -- -------------------------------------------------------------------------------- ---- -- DESCRIPTION : Flip-flop D type -- Width: 8 -- Clock active: high -- Synchronous clear active: high -- Synchronous set active: high -- Clock enable active: high -- Load active: high -- Download from : --------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity ffd is port ( CLR : in std_logic; SET : in std_logic; CE : in std_logic; LOAD : in std_logic; CLK : in std_logic; DATA_IN : in std_logic_vector (7 downto 0); DATA_OUT : out std_logic_vector (7 downto 0) ); end entity; architecture ffd_arch of ffd is signal TEMP_DATA_OUT: std_logic_vector (7 downto 0); begin process (CLK) begin if rising_edge(CLK) then if CE = '1' then if CLR = '1' then TEMP_DATA_OUT <= (others => '0'); elsif SET = '1' then TEMP_DATA_OUT <= (others => '1'); elsif LOAD = '1' then TEMP_DATA_OUT <= DATA_IN; end if; end if; end if; end process; DATA_OUT <= TEMP_DATA_OUT; end architecture;
本文档为【8位数据锁存器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_314871
暂无简介~
格式:doc
大小:13KB
软件:Word
页数:2
分类:互联网
上传时间:2017-11-26
浏览量:182