首页 verilog_简单交通灯实现

verilog_简单交通灯实现

举报
开通vip

verilog_简单交通灯实现...简易交通控制器1、设计要求设计一个交通控制器,用LED显示灯来表示交通状态,并以七段数码管显示器显示当前状态剩余秒数,具体要求如下:1、主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通过;主干道每次放行35s,支干道25s;每次由绿灯变为红灯过程中,黄灯亮作为过度,黄灯亮5s;2、能实现正常的倒计时显示功能;3、能实现总体清零功能,计数器由初始状态开始计数,对应状态的指示灯亮;4、能实现特殊状态的功能的显示,进入特殊状态时,东—西、南—北均显示红灯状态;2、设计原理图3、程序如下modulejiao_t...

verilog_简单交通灯实现
...简易交通控制器1、设计要求设计一个交通控制器,用LED显示灯来表示交通状态,并以七段数码管显示器显示当前状态剩余秒数,具体要求如下:1、主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通过;主干道每次放行35s,支干道25s;每次由绿灯变为红灯过程中,黄灯亮作为过度,黄灯亮5s;2、能实现正常的倒计时显示功能;3、能实现总体清零功能,计数器由初始状态开始计数,对应状态的指示灯亮;4、能实现特殊状态的功能的显示,进入特殊状态时,东—西、南—北均显示红灯状态;2、设计原理图3、程序如下modulejiao_tong(clk,jin,ra,ya,ga,rb,yb,gb,seg7,scan);inputclk,jin;outputra,ya,ga,rb,yb,gb;output[7:0]scan;output[7:0]seg7;regra,ya,ga,rb,yb,gb;reg[7:0]scan;reg[7:0]seg7;reg[1:0]state,next_state;parameterstate0=2'b00,state1=2'b01,state2=2'b10,state3=2'b11;regclk1khz,clk1hz;reg[3:0]one,ten;reg[1:0]cnt;reg[3:0]data;reg[7:0]seg7_temp;regr1,r2,g1,g2,y1,y2;reg[14:0]count1;reg[8:0]count2;rega;reg[3:0]qh,ql;initialscan<=8'b00000000;always@(posedgeclk)beginif(count1=='d25000)beginclk1khz<=~clk1khz;count1<=0;endelsebegincount1<=count1+1'b1;endendalways@(posedgeclk1khz)beginif(count2=='d500)beginclk1hz<=~clk1hz;count2<=0;endelsebegincount2<=count2+1'b1;endendalways@(posedgeclk1hz)beginstate=next_state;case(state)state0:beginif(jin)beginif(!a)beginqh<='b0011;ql<='b0101;a<=1;r1<=0;y1<=0;g1<=1;r2=1;y2<=0;g2<=0;endelsebeginif(!qh&&!ql)beginnext_state<=state1;a<=0;qh<='b0000;ql<='b0000;endelseif(!ql)beginql<='b1001;qh<=qh-1'b1;endelsebeginql<=ql-1'b1;endendendendstate1:beginif(jin)beginif(!a)beginqh<='b0000;ql<='b0101;a<=1;r1<=0;y1<=1;g1<=0;r2=1;y2<=0;g2<=0;endelsebeginif(!ql)beginnext_state<=state2;a<=0;qh<='b0000;ql<='b0000;endelsebeginql<=ql-1'b1;endendendendstate2:beginif(jin)beginif(!a)beginqh<='b0010;ql<='b0101;a<=1;r1<=1;y1<=0;g1<=0;r2=0;y2<=0;g2<=1;endelsebeginif(!qh&&!ql)beginnext_state<=state3;a<=0;qh<='b0000;ql<='b0000;endelseif(!ql)beginql<='b1001;qh<=qh-1'b1;endelsebeginql<=ql-1'b1;endendendendstate3:beginif(jin)beginif(!a)beginqh<='b0000;ql<='b0101;a<=1;r1<=1;y1<=0;g1<=0;r2=0;y2<=1;g2<=0;endelsebeginif(!ql)beginnext_state<=state0;a<=0;qh<='b0000;ql<='b0000;endelsebeginql<=ql-1'b1;endendendendendcaseone<=ql;ten<=qh;end//--------------------always@(jin,clk1hz,r1,r2,g1,g2,y1,y2,seg7_temp)beginif(!jin)beginra<=r1||jin;rb<=r2||jin;ga<=g1&&jin;gb<=g2&&jin;ya<=y1&&jin;yb<=y2&&jin;seg7[0]<=seg7_temp[0]||clk1hz;seg7[1]<=seg7_temp[1]||clk1hz;seg7[2]<=seg7_temp[2]||clk1hz;seg7[3]<=seg7_temp[3]||clk1hz;seg7[4]<=seg7_temp[4]||clk1hz;seg7[5]<=seg7_temp[5]||clk1hz;seg7[6]<=seg7_temp[6]||clk1hz;seg7[7]<=seg7_temp[7]||clk1hz;endelsebeginseg7[7:0]<=seg7_temp[7:0];ra<=r1;rb<=r2;ga<=g1;gb<=g2;ya<=y1;yb<=y2;endend//-----------------always@(posedgeclk1khz)beginif(cnt=='b01)begincnt<='b00;endelsebegincnt<=cnt+1'b1;endendalways@(cnt,one,ten)begincase(cnt)'b00:begindata[3:0]<=ten;scan<='b01111111;end'b01:begindata[3:0]<=one;scan<='b10111111;enddefault:begindata[3:0]<='bx;scan<='bx;endendcaseendalways@(data)begincase(data[3:0])4'b0000:seg7_temp[7:0]<=8'b11000000;4'b0001:seg7_temp[7:0]<=8'b11111001;4'b0010:seg7_temp[7:0]<=8'b10100100;4'b0011:seg7_temp[7:0]<=8'b10110000;4'b0100:seg7_temp[7:0]<=8'b10011001;4'b0101:seg7_temp[7:0]<=8'b10010010;4'b0110:seg7_temp[7:0]<=8'b10000010;4'b0111:seg7_temp[7:0]<=8'b11111000;4'b1000:seg7_temp[7:0]<=8'b10000000;4'b1001:seg7_temp[7:0]<=8'b10010000;default:seg7_temp[7:0]<=8'b10000110;endcaseendendmodule如有侵权请联系告知删除,感谢你们的配合!如有侵权请联系告知删除,感谢你们的配合!精品精品精品
本文档为【verilog_简单交通灯实现】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
机构认证用户
爱赢
公司经营范围:网络软件设计、制作、图文设计、影视制作(编辑)
格式:doc
大小:58KB
软件:Word
页数:0
分类:互联网
上传时间:2021-03-01
浏览量:8