首页 电压控制LC振荡器设计

电压控制LC振荡器设计

举报
开通vip

电压控制LC振荡器设计电压控制LC振荡器设计 摘要:近年来,随着无线通信技术的飞速发展,使市场对射频集成电路产生了 巨大的需求。在射频电路中,压控振荡器(VCO)占有非常重要的地位,它是锁相 环、时钟恢复电路以及频率综合器的重要组成电路,所以设计高性能的压控振荡 器对通信系统性能的提高具有十分重要的意义。 电压控制LC振荡器是如今使用非常广泛的一类电子器件,为电一光转换 电路、移动式手持设备等提供了很好的解决方案。本设计采用压控振荡芯片 MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡电 路,只要改变二...

电压控制LC振荡器设计
电压控制LC振荡器 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 摘要:近年来,随着无线通信技术的飞速发展,使市场对射频集成电路产生了 巨大的需求。在射频电路中,压控振荡器(VCO)占有非常重要的地位,它是锁相 环、时钟恢复电路以及频率综合器的重要组成电路,所以设计高性能的压控振荡 器对通信系统性能的提高具有十分重要的意义。 电压控制LC振荡器是如今使用非常广泛的一类电子器件,为电一光转换 电路、移动式手持设备等提供了很好的解决 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。本设计采用压控振荡芯片 MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡电 路,只要改变二极管两端的电压,即可改变MC1648的输出频率。并且利用锁相 环频率合成技术,采用大规模PLL芯片MC145152和其他芯片构成数字锁相环式 频率合成器,另外利用MC145152的分频系数A、N值而改变输出频率,使输出频 率稳定度进一步提高。 关键词: MV209;压控振荡器;锁相环;频率稳定 Voltage-controlled LC oscillator Abstract: In recent years, with wireless communication technology rapid development of the market for radio frequency integrated circuit produced a huge demand. In the RF circuit, the voltage-controlled oscillator (VCO) occupies a very important position, which is phase-locked loop, clock recovery circuit and the frequency of an important component of an integrated circuit device, so the design of high-performance voltage-controlled oscillator for communication system performance the improvement of great significance. The voltage-controlled LC oscillator is now using a very broad class of electronic devices for power conversion circuit for a light, mobile handheld devices provide a good solution. Design and use of VCO varactor chip MC1648 MV209, constitute an external LC oscillator circuit varactor VCO circuit, as long as the change in voltage across the diode, you can change the MC1648's output frequency. And the use of PLL frequency synthesizer technology, using large-scale MC145152 PLL chip and other chips form digital PLL frequency synthesizer, while the sub-frequency coefficients using MC145152 A, N value and change the output frequency, the output frequency stability and further increased. Key words:MV209; voltage controlled oscillator; PLL; frequency stability AGC 目 录 1引言 ........................................................... 3 1.1系统设计的目的 ............................................... 3 1.2系统设计的意义 ............................................... 4 1.3 研究范围及要达到的参数...............................................................................4 1.4本课 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 应解决的主要问题................................................................................5 2系统设计要求和设计方案 ......................................... 2 2.1系统设计的依据 ............................................... 2 2.2系统设计的要求 ............................................... 2 2.3系统的性能指标 ............................................... 2 2.4系统的方案论证 ............................................... 3 2.4.1电压控制LC振荡器的设计与比较 .............................. 3 2.4.2功率放大器的设计与比较 ..................................... 4 2.4.3频率控制方式的设计与比较 ................................... 5 2.4.4 控制模块的设计方案与选择................................... 6 2.4.5稳幅电路的设计方案与选择 ................................... 6 3系统硬件设计 ................................................... 7 4.1压控振荡器和稳幅电路的设计 ................................... 7 4.2锁相环式频率合成器的设计 ..................................... 7 4.2.1鉴相器 .................................................... 10 4.2.2压控振荡器 ................................................ 11 4.2.3环路滤波器 ................................................ 12 4.2.4锁相环(PLL)技术基本原理 ................................. 13 4.2.5PLL频率合成电路的设计 ..................................... 15 4.3前置分频器 .................................................. 18 4.4低通滤波器 .................................................. 19 4.5单片机控制电路的设计 ........................................ 20 4系统软件设计 ...................................................................................................... 22 5.1程序设计 .................................................... 22 5.1.1设定A、N值,以得到需要的输出频率 ......................... 23 5.2系统的仿真 .................................................. 26 5系统调试 ............................................................................................................. 27 6结束语 ........................................................ 28 参考文献 ....................................................... 29 附 录 .................................................................................................................... 30 附录1:元器件清单 ................................................. 30 附录2:电路原理图 ................................................. 31 附录3:程序 ....................................................... 35 谢 辞 ......................................................... 36 1.引 言 振荡器用于产生一定频率和幅度的信号,它不需要外加输入信号的控制,就 能自动的将直流电流转换为所需的交流能量输出。 振荡器的种类很多,根据产生振动波形的不同,可分为正弦波振荡器和非 正弦波振荡器,本设计只讨论正弦波振荡器的有关内容,正弦波按组成原理来看, 可分为反馈振荡器和负阻振荡器,前者是利用正反馈原理构成的;后者是利用负 阻期间的负阻效应来产生振荡的,不过反馈振荡器本质上也是一种负阻振荡器。 振荡器现在在现代科学技术领域中有着广泛的应用,例如,在无线电通信、广播、 电视设备中用于产生所需要的载波和本机振荡信号;在电子测量仪器中用来产生 各种频段的正弦信号等。对这些振荡器的主要要求是应该有较高的振荡频率和振 荡幅度的准确性和稳定度,其中频率的准确性和稳定度最为重要。 1.1系统设计的目 了解、分析振荡器设计的基本技术和发展方向;掌握信号LC振荡器电路的 主要技术指标、电路结构和工作原理。 1.2系统设计的意义 振荡器自诞生以来就一直在通信、电子、航海航空航天以及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接受设备的基本部件。 随着电子技术的发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 采用频率温度度很高的振荡电路作为定时部件等。尤其在通信系统中,电压振荡器是其中的关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要的地位。 电压控制振荡器是如今使用非常广泛的一类电子器件,为电一光转换电路、移动式手持设备等提供了很好的解决方案。在LC振荡器中的LC回路中,使用电压控制电容器,就可以在一定的频率内构成点协调振荡器。即电压控制LC振荡器。压控振荡器可广泛用于频率调制器,锁相环路,以及无线发射机和接收机中。 1.3研究范围及要达到的参数 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本电压控制LC振荡器系统包括压控振荡器(VCO),高频功率放大器(RFAMP),单片机测频系统。本系统的VCO部分采用了大变化范围的变容二极管做振荡电容,频率调节范围宽,在输入电压从0.5V变化到8V时,输出频率可以从14MHz变化到39MHz,且能保持良好的线性度,振荡环路加入了防振措施,高次谐波能得到很好的抑制,输出的正弦波波形良好,纯度高,失真低,幅度高且稳定。本系统使用单片机控制,从操作的灵活性和可靠性方面考虑,(RFAMP)只设计两级,输入级是选频电压放大,谐 振在30MHz;输出级由于接成E类开关型放大器,搭接50欧负载时输出大功率和高效率的30MHz高频信号毫不费力。 1.4 本课题应解决的主要问题 通过单片机AT89C51控制频率合成器MC145152的分频系数A和N,以改变输出频率的大小。所采用单片机有32个I/O口,而MC145152就需要19个I/O口,可实现控制,无需要增加哦I/O口。大规模集成PLL芯片MC145152,前置分频器MC12022,VCO选用MC1648,环路滤波器LM358和RC电路构成锁相环路设计,达到功能齐全,可靠性高,抗干扰性强的特点。 2.系统设计要求和设计方案 设计要求是一个设计必须要求达到的目的或完成的目标,而设计方案是系统实现的重要途径,同样必不可少的。 2.1系统设计的依据 与电子电路设计有关的国家和行业的法规、技术 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 与规范;本电压控制LC振荡器设计任务书要求的技术范围。 2.2系统设计的要求 (1)振荡器输出为正弦波,波形无明显失真。 (2)输出频率范围:15~35MHz。 ,310(3)输出频率稳定度:优于。 (4)输出电压峰—峰值 =1V?0.1V。 VPP (5)实时测量并显示振荡器输出电压峰-峰值,精度优于10,。 (6)可实现输出频率步进,步进间隔为1MHz?100kHz。 2.3系统的性能指标 一个振荡器除了它的输出信号满足一定的频率和幅度外,还必须保证输出信 号频率和幅度的稳定,频率稳定度和幅度稳定度是振荡器两个重要的性能指标,而频率稳定度尤为重要。 2.4系统的方案论证 2.4.1电压控制LC振荡器的设计与比较 人们通常把压控振荡器称为调频器,用以生产调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 振荡器的比较 : 在各种振荡电路中,LC振荡电路是比较常见的一种。常用的LC振荡器有以下几种: 方案一:采用互感耦合振荡器形式。调基电路振荡频率在较宽的范围改变时,振幅比较稳定。调发电路只能解决起始振荡条件和振荡频率的问题,不能决定振幅的大小。调集电路在高频输出方面比其他两种电路稳定,幅度较大谐波成分比较小。互感耦合振荡器在调整反馈(改变耦合系数)时,基本上不影响振荡频率。但由于分布电容存在,在频率较高时,难于做出稳定性高的变压器,而且灵活性较差。一般应用于中、短波波段。 方案二:采用电感三点式振荡。由于两个电感之间有互感存在,所以很容易起振。另外,改变谐振回路的电容,可方便地调节振荡频率,由于反馈信号取自电感两端压降,而电感对高次谐波呈现高阻抗,故不能抑制高次谐波的反馈,因此振荡器输出信号中的高次谐波成分较大,信号波形较差。 方案三:采用电容三点式振荡器。电容三点式振荡电路的基极和发射极之间接有电容,反馈信号取自电容两端,它对谐波的阻抗很小,谐波电压小,因而使集电极电路电流中的谐波分量和回路的谐波电压都较小。反馈信号取自电容两端,由于电容对高次谐波呈现较小的容抗,因而反馈信号中高次谐波分量小,故振荡输出波形好,而且电容三点式振荡器的频率稳定,适于较高工作频率。 图1电容三大式振荡器 方案四:集成电路振荡器。采用压控振荡器芯片MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡器。只需要调节变容二极管两端的电压,即可改变MC1648的输出频率。由于采用了集成芯片,电路设计简单,系统可靠性高,并且利用锁相环频率合成技术可以使输出频率稳定度进一步提高。 考虑到本设计要求输出波形良好,输出频率稳定及要求频带较宽,故选择方案四,利用压控振荡芯片MC1648和变容二极管MV209,外加一个LC并联谐振回路构成压控振荡器。 2.4.2功率放大器的设计和比较 ,,,180 高频功率放大器有多种形式,有甲类(=)、乙类(=)、丙类(<)、9090丁类。理论上说导通角越小即导通时间越短,电路工作的效率越高,但为还原初始信号所需的后级电路也越复杂。 甲类互补放大器和乙类放大器适用于线性放大,多用于宽带功率放大,但是效率较低,理论最大值分别为50%和78.5%;丙类和丁类适用于固定频率的放大(谐振放大)。 按题目要求,需要设计工作在30MHz,输出功率P>=20mw的功率放大器。该放大器属于固定频率上的放大器,丁类在规定时间内较难设计制作,由于放大器效率较高而且容易制作和调试,所以选择用丙类谐振放大器,在丙类放大前加一 个甲类放大以产生足够的激励电压,输出大于20mw的效果。 LC振荡器控制信号的实现比较: 方案一:采用普通电压源,通过滑动变阻器改变LC振荡器的输入电压来实现对LC输出频率的控制,这种方案可以实现较小的频率间隔,但实际操作比较困难,且误差较大、稳定度很低。 方案二:采用VCO,函数发生器,如ICL8038。它通过改变外加控制电压,改变芯片内的电容充电电流,从而可以输出一定频率的正弦波。但是其输出的频率较低,而且频率的稳定度底,频率的步进难以控制。 方案三:采用锁相环路技术,利用锁相环,使振荡器(VCO)的输出频率锁定在所需的频率上,从而产生稳定的VCO控制电压,这样大大提高了控制信号的稳定性,而且性能可靠、使用方便以及多功能等优点。 因此,根据本课程设计要求我们采用方案三的设计。 2.4.3频率控制方式的比较 方案一:利用电位器分压电路,通过改变电位器的分压比来改变变容管的反相偏压,从而改变振荡器的振荡频率。该电路的优点是电路结构简单,容易制作。但是电位很难实现对频率的精确控制,且电位器容易磨损,噪声大,受温度的影响也大。 方案二:利用DAC芯片输出控制电压,通过单片机输出数据经D/A器件转换成模拟电压控制振荡器的频率。此电路控制的振荡器频率值的步进精度取决于D/A器件的转换精度。该电路的结构也比较简单,频率调节是数码控制,可以大大减少噪声。在固定的VCO输入电压上,输出频率值是有一定的波动的,使得从DAC输出的数据与实际输出的频率不能一一对应。DAC的调节作用要经过单片机的运算处理,这样就有一个延时的过程,导致频率的自动调整滞后。 方案三:频率合成器 直接式频率合成器:采用直接式频率和成技术,将一个或几个晶体振荡器产生的标准频率通过谐波发生器产生一系列频率,然后再对这些频率进行倍频、分频或混频,获得大量的离散频率。其组成框图如图2所示。直接式频率合成器频率稳定度高,频率转换时间短,频率间隔小。但系统中需要大量的混频器、滤波器等,体积大,易产生过多杂散分量,而且成本高,安装调试都比较困难。 图2直接频率合成器 模拟锁相式频率合成器:采用模拟锁相式频率合成器技术,通过环路分频器降频,将VCO的频率降低,与参考频率进行鉴相。优点是:可以得到任意小的频率间隔;鉴相器的工作频率不高,频率变化范围不大,比较好做,带内带外噪声和锁定时问易于处理。不需要昂贵的晶体滤波器,频率稳定度与参与晶振的频率稳定度相同。缺点是分辨率的提高通过增加循环次数来实现,电路超小型化和集成化比较复杂。 数字锁相环式频率合成器:采用数字锁相环式频率合成技术,由晶振、鉴频/鉴相(PF/PF)、环路滤波器(LPF)、可变分频器、和压控振荡器(VCO)组成。图3为其组成框图。利用锁相环,将VCO的输出频率锁定在所需要的频率上。可以很好的选择所需要频率信号,抑制杂散分量,并且避免了大量的滤波器,采用大规模的集成芯片,与前两种方案相比可以简化频率合成的部分设计,有利于集成化和小型化。频率合成采用大规模集成PLL芯片MC145152;前置分频器选用芯片MC12022,VCO选用MC1648;环路滤波器采用运放LM358和RC电路组成,即可完成锁相环路的设计。利用该方法设计简单,功能齐全,可靠性高,抗干扰性强。 图3锁相环式频率合成器基本组成框图 综上所述,选择方案三中的采用大规模PLL芯片MC145152和其他芯片构成数字锁相环式频率合成器。 2.4.4控制模块的设计方案论证与选择 方案一:单片机控制 利用单片机控制集成芯片MC145152的分频系数A和N,以改变输出频率的大小。由于本设计不需要显示,所采用单片机有32个I/O口,而MC145152就需要19个I/O口,可实现控制,无需要增加I/O口,电路简单。 方案二:利用FPGA来控制 FPGA的运行速度快,资源丰富,使用方便灵活,易于进行功能扩展。高系统的稳定度和抗干扰性。 综上所述,选择方案一更合理一些,资源就不会那么浪费,电路简单,便于实现。 2.4.5稳幅电路的设计方案论证与选择 方案一:采用交流电压并联负反馈电路实现稳幅电路 在放大电路中引入交流电压并联负反馈。反馈网络由一个可变电阻组成,稳定输出电压。但引入交流负反馈,因而环境温度变化、电源电压波动等原因引起的放大倍数的变化将减小,是以牺牲放大倍数为代价的。 方案二:采用自动增益(AGC)电路实现的稳幅电路。 由于VCO芯片MC1648内部有AGC电路,通过AGC自动增益控制电路,在输入信号电平变化时,用改变增益的办法维持输出信号电平基本上不变。利用该方法可以进一步提高输出电压的稳定度。保证在15-35MHz的频率范围内,输出电压峰峰值控制在1V?0.1V。 综上所诉,选择方案二采用交流电压负反馈电路和AGC电路作为稳幅电路。 3.系统硬件设计 经过方案比较与论证,最终确定的系统组成框图如图4所示,其中的集成电路MC1648、MC145152、MC12022、低通滤波器和晶振构成锁相环频率合成器,单片机控制频率的步进,由于电路中既有数字电路又有高频电路,需将高频电路用金属屏蔽罩隔离,以减少交叉调制等干扰。 可控分频器 LF PD 压控LC振液晶显 示 荡器 开关 参考时 钟 键盘 D/A 89C51 单片机 A/D D/A 可控增益放大峰值检 器 波 功率输功放 开关 出 频率测量输 出 图4系统的方框图 本系统以89C51单片机为核心,以4*4键盘,128*64点阵液晶构成人机接口,辅助以512Kb flash存储器W29C040,内装汉字库和图标,图形等可以构成友好的人机界面。利用8255扩展并口,DS12C887提供系统时钟。将片外低32K地址分配给外部程序存储器28C256,为使用方便,设计成与静态RAM62256兼容方式 3.1 压控振荡器和稳幅电路的设计 LC压控振荡器主要由压控振荡芯片MC1648、变容二极管MV209以及LC振荡回路构成。MC1648需要外接一个由电感和电容组成的并联谐振回路。为达到最 QL,佳工作性能,在工作频率要求并联谐振回路的100。电源采用+5的电压,一对串联变容二极管背靠背与该谐振回路相连,振荡器的输出频率随加在变容二极管上的电压大小改变而改变。 图5压控振荡电路图 压控振荡电路由芯片内部的、、、、、,10脚和12脚外QQQQQQ857641 接LC谐振回路(含MV209)组成正反馈(反相720?)。其振荡频率由图5计算。 1f,02,LC 3-1 11,,,CCCCD1D27 3-2 图6 MC1648内部电路图 VCO的芯片管脚3为缓冲输出,供前置分频器MC12022。该芯片的5脚是自动增益控制电路(AGC)的反馈端。MC1648芯片内部的AGC电路(自动增益电路)维持VCO输出电压的稳定,使之不会因为频率的变化而变化,且VCO输出的信号幅度基本上与输出频率无关。同时也具有较宽的压控范围;调节使VCO输出Rx为无明显失真的正弦波。结合MC1648的内部电路图,可以得到:当输出电压高于1V时,二极管D1反偏,电压为负,使的基极电压减小,集电极电压增大,Q8 Vout1这样的减小,电压放大倍数减小,使得输出电压减小,稳定在1V?QV7bc 0.1V,使得输出电压进一步稳定。 VCO产生的振荡频率范围和变容二极管的压容特性有关。图7为变容二极管 的测试图 。可利用图中(a)所示的测量电路来测量变容二极管MV209的压容特性。(b)为其压容特性和压控振荡器的压控特性示意图。从图中可见变容二极管的反偏电压从到变化,对应的输出频率范围是到。在预先给VVFFdminminmaxdmax 定L的情况下,给变容二极管加不同的电压,测得对应的谐振频率,从而可以计 C算出 的值。减小谐振回路的电感感抗,改变电容容量,不需要并联二极管即d 可很容易地实现频率扩展,在试验中利用该方法用单管电感,绕6圈,曾使输出 达到87MHZ以上,本设计中通过该方法使输出频率的范围扩展到14~45MHz. 图7 变容二极管的测试特性 3.2锁相环式频率合成器的设计 锁相环路的数学模型:锁相环路的的性能主要取决于鉴相器、压控振荡器和环路滤波器三个基本组成部分,下面先对他们的基本特性予以说明。 3.2.1鉴相器(PD) 设压控振荡器的输出电压为 为: ,,ut0 ,,,,ut,Ucoswt,,t0om0O0 3-3 是压控振荡器未加控制电压时固定振荡角频率:是以为 式中,ww,,,to0o00 参考的瞬时相位。 环路输入电压为: ,,utt ,,,,ut,Usinwtttmt 3-4 要对两个信号的瞬时相位进行比较,需要在同一频率上进行。为此,可将输入信号的总相位改写成: ,,utt ,,,,,,wt,w,w,wt,wt,,tto0to0o0t 3-5 式中,是以为参考的输入信号瞬时相位,它等于: ,,,twtto0 ,,,,,t,w,wttto0 3-6 将式3-5代入式3-3中,则得输入信号的表达式为: ,,utt ,,,,,,ut,Usinwt,,tttmo0t 3-7 由式3-7和式3-3可知,和之间的瞬时相位差为: ,,,,utut0t ,,,,,,,t,,t,,tet0 3-8 鉴相器有各种实现电路,例如采用相乘器的乘积型鉴相器和采用包络检波器的叠加性鉴相器,他们的鉴相特性均可表示为: ,,,,,,ut,Asin,tDde 3-9 式中,A为鉴相器的最大输出电压。根据式3-9可作出鉴相器的相位模型,d 如图8所示: 图8正弦鉴位器的相位模型 3.2.2压控振荡器 压控振荡器是一个电压—频率变换装置,它的振荡频率应随输入控制电压 的变化而变化。一般情况下,压控振荡器的控制特性是非线性的。如图9,,utc (a)所示,图中是未加控制电压时压控振荡器的固有振荡角频率。不w,,uto0c ,,ut,0过,在附近的优先范围内控制特性近似呈线性,因此,它的控制特性可近似用线性方程来表示,即: ,,,,wt,w,Autoo0oc 3-10 式中,A0为控制灵敏度,或称增益系数,单位是rad/(s*v),它表示单位控制电压所引起振荡角频率的变化量。 (b)VCO相位模型 图9压控振荡器的控制特性及其电路相位模型 由于压控振荡器的输出反馈到鉴相器上,对鉴相器输出误差电压起,,Utd作用的不是其频率而是其相位,因此对式3-10进行积分,则得: tt ,,,,,,,t,wtdt,wt,Autdt00ooc,,00 3-11 与式3-3相比较,可知: t ,,,,,t,Autdtooc,0 3-12 由式3-12可见,就和之间的关系而言,压控振荡器是一个理想,,,,,tut0c 的积分器。因此,往往将它称为锁相环中的固有积分环节。将式3-12中的积分 d符号改为微分算子的倒数来表示,则: p,dt A0,,,,,t,ut 3-13 0cp 由此可得到压控振荡器的数学模型,如图9(b)所示。 3.2.3环路滤波器 在锁相环路中常用的环路滤波器有RC积分滤波器、RC比例积分滤波器和有源比例积分滤波器等,他们的电路分别如图10(a)(b)(c)所示。由图可写出他们的传递函数,现以图(b)为例,得 1R,2,Us1,s,,CsC2 3-14 As,,,,,p1,,,,Us1,s,,,C12R,R,12sC ,,Uss,,,jw式中,,分别为输出和输入电压的拉式变化式,为复,,UsDC ,,RC,,RC频率,,。 1122 图10环路滤波器 ,,As 如果将中的复频率s用微分算子p替换,就可以写出描述滤波器激F 励和相位之间关系的微分方程,即: ,,,,,,,,Ut,Aput 3-15 CFD 由式3-15可得环路滤波器的电路模型,如图11所示: 图11环路滤波器的电路模型 3.2.4锁相环(PLL)技术的基本原理 图12锁相环基本原理框图 一个基本的锁相环路主要由相位比较器(PD)、低通环路滤波器(LPF)、压控振荡器(VCO)组成,如图12所示。相位比较器有两个输入端,一路来自输出,一路来自基准信号源,通过比较和的相位差输出一个对应的电压。Vffffvvdrr 如果的相位超前于或是>,输出一个负脉冲;如果的相位滞后于Vfffffvvdvrr 或是<,输出一个正脉冲;通过低通滤波器变成一个控制电压,VVVfffvddCrr 如果是负脉冲,那么电压下降,是正脉冲,那么电压上升,送去控VVVVVdCdCC制压控振荡器使振荡频率产生变化,振荡器输出的频率又返回到相位比较器继续比较。若固定不变,那么锁相的具体过程; ff,V,V,f,rvdCv f,f;上面这两个过程段的重复,一直到,这时输出为Vf,V,V,f,vrdvdCv 某个特定值,频率达到了稳定值,也就是所谓的锁住了相位。我们可以总结出锁相环的性质:能鉴别两路频率的相位差,并自动调整VCO输出频率使反馈频率fv和基准频率同相位,也就是使与同频率。 fffvrr 图13带分频的锁相环 实际的锁相环路还加入了数字分频网络构成了数字锁相环,如图13所示。 f,f基准频率通过N分频器送到相位比较器,/N,输出频率通过M分频器送r0 f,f/Nf,f到相位比较器另一端,,因为锁相环的锁相作用,使,容易VOUTVr ,,f,f,,/,求得,由此式可见,只要固定,VCO的输出频率范围足够宽,fOUT00 通过适当的改变M和N,就可以控制输出频率的大小。如果是用晶体振荡器产f0 f生,那么就具有与晶体振荡器同等的精度和稳定度。 OUT 图14锁相环基本原理框图 锁相环的基本原理框图如图14所示。采用锁相环频率合成,可以得到任意 ,510频率步进,同时频率稳定度与参考晶振相当,可以达到。锁相环路主要由晶振、参考分频器、压控振动器(VCO)、鉴频/鉴相器(FD/PD)、低通滤波器(LPF)、可编程分频器组成。它是应用数字逻辑电路将VCO频率一次或多次降低至鉴相器频率上,再与参考频率在鉴相电路中进行比较,通过低通滤波器取出误差信号来控制VCO的频率,使之锁定在参考频率的稳定度上。由于采用了大规模集成电路块MC145152,将图中的晶振、参考分频器、鉴频鉴相器、可编程分频器都集成在一个芯片中,不需要再单独设计。同时利用单片机来控制MC145152,确定分频系数A、N和频率的对应关系。 3.2.5 PLL频率合成电路的设计 锁相环频率合成器是以大规模集成PLL芯片MC145152为核心设计的。MC145152是MOTOROLA公司生产的大规模集成电路,它是一块采用并行码输入方式设置、由14根并行输入数据编程的双模CMOS-LST锁相环频率合成器。图15为其内部组成方框图。MC145152内参考频率振荡器、可供用户选择的参考分频器(128,8ROM参考译码器和12比A计数器)、双端输出的鉴相器、控制逻辑、10位可编程的10位比N计数器、6位可编程的6位比A计数器和锁定检测等部分。其中,10位比N计数器、6位比A计数器、模拟控制逻辑和外接双模前置分 A的范频器组成吞脉冲程序分频器,吞脉冲程序分频器的总分频比为:D=PN+A(围0~63,N的范围3~1023)。由此可以计算出频率和A、N值的对应关系,利用 单片机控制器改变其值,便可达到改变输出频率的目的。 图15 MC145152内部结构图 参考分频器是为了得到所需的频率间隔而设定的,频率合成器的输出频谱是不连续的,两个相邻频率之间的最小间隔就是频率间隔。在MC145152中,外部稳定参考源-OSCm输入,经12位分频将输入频率比R,然后送入FD/PD中。比R计数分频器用于将晶振频率降低作为参考频率,可以控制输出频率间隔。R值可由Ra0、Ra1、Ra2确定,如表1所示。 表1 MC145152参考分频器分频系数设置对照表 MC145152的管脚排列如图16所示。采用28脚DIP封装,各管脚功能如 下: 引脚4、5、6(、、)为参考地址码输入端,用于选择参考分RRRA0A1A2 频器的分频比。通过128ROM参考译码器和12bit R计数器进行编程。分频,, 比有8种选择,其参考地址码与分频比的关系如表1所列。 引脚26、27(OSCIN、OSCOUT)为参考振荡端,当两个引脚接上一个并联谐振晶体时,便组成一个参考频率振荡器。但在OSCIN到地和OSCOUT到地之间一般应接上频率置定电容(一般为15pF左右)。OSCIN也可作为外部参考信号的输入端。 引脚1(VOC)为输入信号端,将输入信号交流耦合到本引脚,其输入信号频率应小于30MHz. 引脚10、21~25(A5~A0)为6bit比A计数器的分频端。其预置数决定了/V(V+1)双模前置频器的/V(V+1)的次数。 引脚11~29(N9~N0)为10bit/N计数器的分频端。 图16MC145152管脚排列 f,f引脚7、8( 、)为鉴相器双输出端,用于输出环路误差信号。如果,,VrVr f,f或的相位超前,则变为低电平而仍为高电平;如果或者的f,ff,VrVVVrr 相位滞后,则跳为低电平而保持高电平;如果=并与同相,则,fff,ffVVVrrrr与保持高电平,仅在一个很短的时间内二者同时为低电平。 ,,Vr 引脚9(MC)为模式控制端,输出的模式控制信号加到双模分频器即可实现模式变换。在,一个计数周期开始时,“MC”处于低电平,一直到A下行计满编程的剩余值(N—A)。N计数器计满量后,“MC”复位为低,两个计数器重新预置到各自的编程值上,再重复上述过程。 引脚28(LD)为锁定检测端,用于锁定输出信号。当环路锁定时(即与,V同频同相),该信号为高电平;当环路失锁时,LD为地电平。 ,r 图17 MC145152电路原理图 MC145152的电路原理图如图17所示,该电路时利用单片机来控制内部的分频比和计数器来实现频率的步进的。该电路还有指示功能,当频率锁定时,指示灯是亮的,没有锁定时指示灯不亮。 3.3前置分频器 该分频器是由控制端MC来实现分频的。选用集成芯片MC12022,分频比为P=63和64。MC12022受控于吞咽计数器的分频比切换信号,也就是模式选择信号M。当M为高电平时,分频比为P+1,当M为低电平时为P。MC145152内的/N和/A计数器均为减法计数器,当减到零时,/A计数器输出由高变低,/N计数器减到零时输出脉冲到FD/PD并同时将预置的N和A重新置入/A和/N计数器,利用这种方法可以方便的使总分频比为连续数,总分频比为D=PN+A。 (a) P/P+1前置分频器 (b)吞咽脉冲计数示意图 图18吞咽式脉冲计数原理图 MC12022分频电路原理图如图19所示,该电路的输入端是接压控振荡器的输出端,对产生的正弦波信号进行分频,其中有一个端是MC是个控制端,通过频率合成器的控制来实现分频的比,输出是接频率合成器的输入端,此信号和基准信号在频率合成器中进行比较。 图19MC12022分频电路原理图 3.4低通滤波器 低通滤波器由运放LM358和RC电路组成,其电路图如图20所示。低通滤波器用于滤波鉴相器输出的误差电压中的高频分量和瞬变杂散干扰信号,以获得更纯的控制电压,提高环路稳定性和改善环路跟踪性能和噪声性能。锁相稳频系统是一个相位反馈系统,其反馈目的是使VCO得振荡频率由有偏差的状态逐步过渡到准确的标准值。而VCO如做调频源用,其瞬间频率总是偏离标准值的。振荡器中心频率不稳定主要由温度、湿度、直流电源等外界因素引起的,其变化是缓慢的,锁相环只对VCO平均中心频率不稳定所引起的分量(处于低通滤波器通带之 内)起作用,使其中心频率锁定在设定的频率上。因此,输出的调频波中心频率稳定度很高。根据式3-16可以算出低通滤波器的截止频率,一般情况下该截fo 止频率小于10Hz。理论上环路滤波器的通带应该尽量小,但是成本、体积也随之增加,几赫兹已经能满足要求。 1,R,7,R,jwC710,U,U32I1,R,R,57,R,jwC710,U,UU,U 3-16 ,2012I,,1R4R,,6jwC9, U,U,32,w,2,f0, 图20滤波电路 3.5单片机控制电路的设计 本设计的控制电路是采用单片机来实现的,其中单片机电路中采用的是单片机的最小系统,其中包括时钟电路、复位电路、键盘控制电路,通过键盘控制来实现步进频率。 图21单片机控制电路 单片机的时钟电路如原理图所示,在引脚XTAL1和XTAL2跨接晶振和微调电容就构成了内部振荡方式,由于单片机内部有一个高增益反相放大器,外接晶振后,就构成了自激振荡器并产生振荡时钟脉冲。 系统板上采用上电自动复位和按键手动复位方式,上电复位要求接通电源后,自动实现复位操作。手动复位要求在电源接通的条件下,在单片机运用器件,用按钮开关操作使单片机复位。其电源原理图如图21所示。上电自动复位接通而实现的。 其中S1、S3、S4、S5、是用来调节步进频率的,S2为用来增加频率的,按一下频率就会按照步进的数值增加,S3是用来减小频率的,同S2的功能相反。初始步进式100KHz,当按S4时变为500KHz,是增加的,而S5是减小的。 单片机的P0和P2是用来控制MC145152,使压控振荡器输出的频率增加一个步进频率,而P1口的P10、P11、P12也是来控制MC145152,但是它是改变步进频率的,使频率在不同数值的增长。 频率的计算: VCO输出频率的范围是15-35MHz。首先应该确定参考频率,为步长(频ffrr `率间隔)的整数倍。频率间隔可由式4-17确定。 fr f'r, f 3-17 rR 由于R值是固定的,只能从8个参考值中选择,采用10.2400MHZ的晶振作为标准频率。对其进行除以R分频。R取2048,进行分频得到5KHZ的脉冲信号作为频率间隔。该值可通过单片机改变。 由确定的N值和A值的范围应该在MC145152范围内(A的范围0~63,Nfr 的范围3~1023),并且必须满足N>A. 采用吞咽脉冲计数的方式,式3-17为总分频比。只要N>A,尽管P为固定值,但合理选择N和A的值,即可连续性。 ,,,, 3-18 ,AP,1,N,A,PN,A, 此时被锁定在: fc ,,f,PA,A,f 3-19 cp 其中N为3~1023;A为0~63;P=64(由MC12022确定)。 `现举例计算确定A、N的值,使输出频率为=5KHz,步长=5KMHz(前面已ffcr经给出计算过程)。由式3-19计算可得: (PN+A)=5M/5K=1000,1000/64=15.625。 由此可得: N=15,A=0.625*64=40. 通过此方法可以方便的计算出每个频率对应的参数。 4.系统软件设计 4.1程序设计 主程序设计思想:软件采用模块化设计方式,将各个功能分成独立模块,有 系统和监控程序一起管理执行。 软件设计的关键是对PLL芯片MC145152的控制。软件实现的功能是: 设定频率间隔,即确定调频步进。 4.1.1设定A、N值,以得到需要的输出频率 如图22所示软件设计流程图,选用晶振频率为10.24MHz,首先确定其频率间隔,使步进频率为1MHz,A、N值的计算可由前述的公式来完成,但在编程过程中并不是将该算法存于程序,而是寻找A、N的变化规律,找到简单的计算方法。表2为步进频率为1MHz时对于的A、N值,其中只摘取了一部分频段,通过观察可发现其规律。图22为软件设计的流程图,图23为参数计算流程图。 表2频率间隔1MHz时对应的A、N值 图22软件设计流程图 图23参数计算流程图 4.2系统的仿真 电路设计制作完成后,首先在Proteus软件上画好自己设计的电路图,之后将所编的程序用KeilC51uVision2进行程序的调试和编译,然后生成HEX文件。再将生成的HEX文件加载到Proteus软件里面的单片机AT89C51中,观察是否有期待的仿真结果,经过多次修改,最终得到期待的仿真结果,由此便将可行的程序确定下来。系统整体电路仿真图如图24所示。 5.系统调试 在仿真结果正确的基础上,在实验板上进行实物的制作。振荡芯片MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡电路,只要改变二极管两端的电压,即可改变MC1648的输出频率。并且利用锁相环频率合成技术,采用大规模PLL芯片MC145152和其他芯片构成数字锁相环式频率合成器,并且利用MC145152的分频系数A、N值而改变输出频率,且输出频率稳定度进一步提高。 本设计的控制电路是采用单片机来实现的,单片机的P0和P2是用来控制MC145152,使压控振荡器输出的频率增加一个步进频率,而P1口的P10、P11、P12也是来控制MC145152,但是它是改变步进频率的,使频率在不同数值的增长。输入控制程序,通过变容二极管改变输入振荡器的输入电压从而达到振荡器的振荡频率改变。调节使VCO输出为无明显失真的正弦波,又由MC1648Rx 的工作原理知,当输出电压高于1V时,二极管D1反偏,电压为负,使的基Q8极电压减小,集电极电压增大,这样的减小,电压放大倍数减小,使得输QV7bc Vout1出电压减小,稳定在1V?0.1V,使得输出电压进一步稳定。 6.结束语 此次毕业设计我投入了大量时间和精力,一路走来,受益匪浅。本设计主要是利用集成的振荡芯片代替了分立元件的元件来实现的,还有利用数字频率合成技术来实现步进的,其精确度达到设计的要求,更比设计要求还高,再次利用单片机控制电路来实现步进的控制。 这段时间我认真学习了有关LC振荡器设计的知识,从压控振荡器电路的方案论证,到单元电路设计,再到总的电路设计,最后到控制程序设计。 并且在学习过程中了解到电压控制振荡器如今是使用非常广泛的一类电子器件,为电光转换电路、移动式手持设备提供了很好的解决方案。 电压控制振荡器在国外的通信、电子、航海航空航天以及医学等领域扮演着重要的角色,和国内差不多,也在各方面产生重要的作用和效果。 在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表采用频率温度度很高的振荡电路作为定时部件等。尤其在通信系统中,电压振荡器是其中的关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要的地位。 总之,国内和国外对电压控制LC振荡器的研究一直没有停息过,都在不断地改革和创新,不断地去在这个方面研究出更高的层次,让其在我们的工农业中起到辅助作用,提高我们工农业生产地效率。 参考文献 [1]潘晨聪,刘倩如,韩耕.电压控制LC振荡器[J].电子世界,2004(2). [2]魏平俊,方向前,刘苡玮.基于锁相频率合成器的电压控制LC振荡器[J].电子工业专用设备,2005(4). [3]谢自美.电子线路设计、试验、测试(第三版)[M].武汉:华中科大出版社,2006. [4]谢自美.电子线路综合设计(第一版)[M].武汉:华中科大出版社,2006. [5]张毅刚. MCS-51单片机应用设计[M].哈尔滨:哈尔滨工业大学出版社,2004. [6]全国大学生电子设计竞赛组委会.全国大学生电子设计竞赛获奖作品, (2005)[M].北京:北京理工大学出版社,2006. [7]林春方.高频电子线路[M].北京:电子工业出版社,2007. [8]王卫东,傅佑麟. 高频电子线路[M].北京:电子工业出版社,2004. [9]于海勋,郑长明.高频电路实验与仿真[M].北京:科学出版社,2005. [10]陈梓城.模拟电子技术基础[M].北京:高等教育出版社,2007. [11]侯丽敏.通信电子线路[M].北京:清华大学出版社,2008. [12]稻叶保.振荡电路的设计与应用[M].北京:科学出版社,2004. [13]万心年.集成锁相环路)(第一版)[M].人民邮电出版社,1990. [14]黄智伟.全国大学生电子设计竞赛训练教程(第二版)[M].北京:电子工业出 版社,2005. [15]张毅坤.单片微型计算机原理及应用(第一版)[M].西安:西安电子科技大学 出版社,1998. [16]张厥胜.锁相环频率合成器(第一版)[M].北京:电子工业出版社,1997. [17]周仲.国产集成电路应用500例(第一版)[M].北京:电子工业出版社,1993. [18]施良驹.集成电路应用锦集(第二版)[J].北京:电子工业出版社,1998. 附录 附录1:元器件清单 元件 数量 型号与数值 备注 U1 1 89C51 单片机 U2 1 MC145152 频率合成器 U3 1 MC12022 前置分频 U4 1 MC1648 压控振荡器 U5 1 ML358 集成运放 Y1 1 12MHz 晶振 Y2 1 10.24MHz 晶振 S1 、S2、 S3、 5 按键开关 S4 、S5 S6 1 波段开关 D1 1 IN4148 二极管 D2 、D3 2 MV209 变容二极管 D4 1 发光二极管 C1 、C2、 C13 3 1000pF 瓷片电容 C3、C16、 C19 3 0.1uF 瓷片电容 C4、 C8 2 12pF 瓷片电容 C5 、C6 、C15 3 30pF 瓷片电容 C7 1 22nF 瓷片电容 C9、C10 2 10uF 电解电容 C11、C17 2 100uF 瓷片电容 C12 1 10uF 瓷片电容 C14 1 300uF 瓷片电容 C18 1 0.01uF 瓷片电容 C20 1 30pF 电解电容 C21 1 30pF 可调电容 R1、R9、R12 3 10K 电阻 R2、R11 2 1K 电阻 R3 1 2.2K 电阻 R4 1 3.3K 电阻 R5 1 47K 电阻 R6 1 18K 电阻 R7 1 22K 电阻 R8 1 12K 电阻 附录2:电路原理图 附录3:程序 Library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ssend is Port(clk,reset:in std_logic; add:in std_logic;--频率增加键 sub:in std_logic;--频率减少键 convert:in std_logic_vector(1 downto 0); A:out std_logic_vector(5 downto 0);--分频预置数输出 N:out std_logic_vector(9 downto 0); --分频预置数输出) end ssend ; architecture Behavioral of ssend is type state is(st0,st1,st11,st2,st22); signal s:state; type states is(sst0,sst1,sst2); signal sst:state; type statess is(million,ten_thousand,thousand,hundred,ten,gewei,zhen,wait_s) ; signal current:statess; signal keyclk,flag,cclk0:std_logic; signal frequence:integer range 0 to 109000; signal fm:integer range 0 to 500; signal fa:integer range 0 to 50; signal FN:integer range 0 to 2; begin pulse:process(reset,clk) variable cclk:std_logic; variable nn:integer range 0 to 25000; begin if reset='0' then nn:='0'; cclk:='0'; else if rising_edge(clk) then if nn=24999 then cclk:=not cclk; nn:=0;---24999 else nn:=nn+1; end if; end if; cclk0<=cclk; end process; process(reset,cclk0) variable aa:integer range 0 to 10; variable clkkey:std_logic; begin if reset='0' then aa:='0';clkkey:='0'; else if rising_edge(cclk) then if aa=4 then aa:=0;clkkey:--4 else aa:=aa+1; end if; ind if; keyclk<=clkkey; end process; process(reset,convert) begin if reset='0' then fm<=25;FA<=2;FN<=0; else if convert="00" then fm<=10;FA<=1;FN<=0;--频率增加25KHz else if convert="10" then fm<=100;FA<=10;FN<=0;--频率减小50KHz else if convert="01" then fm<=500;FA<=5;FN<=0;--频率减小500KHz else null; end if ; end process; process(reset,add,sub,keyclk,fm,fa,fn)--按键进程 variable fre:integer range 0 to 109000; variable AA:integer range -100 to 200; variable NN:integer range 0 to 400; begin if reset='0' then s<=st0;fre:=32000;flag<='0';AA:=56;NN:=46; else if keyclk'event and keyclk='1' then case s is when st0>=flag<='1';A<=conv_std_logic_vector(AA,6); N<=conv_std_logic_vector(NN,10); if add='0' then s<=st1;flag<='0'; else if sub='0' then s<=st2;flag<='0'; end if; when st1>= if add='1'then fre:=fre+fm;AA:=AA+fa;NN:=NN+fn;s<=st11; end if; when st11>=if AA>=64 then AA:=AA-64;NN:=NN+1;s<=st0; else s<=st0; end if; when st2>=if sub='1' then fre:=fre-fm; AA:=AA-fa;NN:=NN-fn;s<=st22; end if; when st22>= if(AA>0 or AA=0) then s<=st0; else AA:=64+AA;NN:=NN-1;s<=st0; end if; end case; end if; frequence<=fre; end process; 程序结束 end Behavioral;-- 谢 辞 在这几年的本科学习生涯中,在指导老师周传璘副教授的精心指导和悉心关怀下,我掌握了大量的理论知识,增强了学习和科研能力。本文在选题、构思、撰写、修改乃至最终完成的过程中,周老师都给予了我精心的指导和耐心的帮助,周老师广博的专业知识、严谨的治学态度、持之以恒的钻研精神以及豁达的心胸都深深感染了我,让我受益匪浅。在此我对他表示最诚挚的感谢~ 同时,我还要感谢孝感学院物电学院的各位老师,他们毫无保留地把知识传授于我,是他们不辞辛苦的工作和无微不至的关怀,才能使我拥有良好的学习氛围从而得以顺利完成学业。 感谢我的爸爸妈妈,焉得谖草,言树之背,养育之恩,无以回报,他们永远健康快乐是我最大的心愿。在论文即将完成之际,我的心情无法平静,从开始进入课题到论文的顺利完成,有多少可敬的师长、同学、朋友给了我无言的帮助,在这里请接受我诚挚的谢意~ 衷心感谢在百忙之中评阅论文和参加答辩的各位专家、教授! 谨以此文,献给所有关心和帮助过我的人们~
本文档为【电压控制LC振荡器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_083599
暂无简介~
格式:doc
大小:431KB
软件:Word
页数:40
分类:工学
上传时间:2018-01-11
浏览量:21