首页 Verilog流水灯实验学习报告计划

Verilog流水灯实验学习报告计划

举报
开通vip

Verilog流水灯实验学习报告计划精品文档精品文档PAGEPAGE4精品文档PAGE页眉内容流水灯实验报告实验二流水灯一、实验目的学会编写一个简单的流水灯程序并掌握分频的方法。熟悉Modelsim仿真软件的使用。二、实验要求用Quartus编写流水灯程序,在Modelsim软件中进行仿真。三、实验仪器和设备1、硬件:计算机2、软件:Quartus、Modelsim、(UE)四、实验内容1、将时钟周期进行分频。2、编写Verilog程序实现LED等依次亮灭,用Modelsim进行仿真,绘制波形图。五、实验设计(一)分频原理已知时钟周...

Verilog流水灯实验学习报告计划
精品文档精品文档PAGEPAGE4精品文档PAGE页眉内容流水灯实验报告实验二流水灯一、实验目的学会编写一个简单的流水灯程序并掌握分频的方法。熟悉Modelsim仿真软件的使用。二、实验要求用Quartus编写流水灯程序,在Modelsim软件中进行仿真。三、实验仪器和设备1、硬件:计算机2、软件:Quartus、Modelsim、(UE)四、实验内容1、将时钟周期进行分频。2、编写Verilog程序实现LED等依次亮灭,用Modelsim进行仿真,绘制波形图。五、实验 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 (一)分频原理已知时钟周期f为50MHz,周期T为1/f,即20ns。若想获得四分频计数器,即周期为80ns的时钟,需要把时钟进行分频。即每四个时钟周期合并为一个周期。原理图如图1所示。图1四分频原理图(二)流水灯设计思路1、实现4盏LED灯依次隔1s亮灭,即周期为1s;2、计算出频率f为1/T=1Hz;3、设置计数器cnt,当检测到clk上涨沿时开始计数,当cnt计数到24_999_999时,clk_4跳变为1,LED灯亮起,当cnt计数49_999_999时,clk_4置0,LED灯熄灭。4、给LED赋初值4’b0001,第一盏灯亮。5、利用位拼接,实现循环。(三)设计框图图2设计基本框图(四)位拼接的用法若输入a=4'b1010,b=3'b101,c=4'b0101,想要使输出d=5'b10001精心整理页眉内容用位拼接,符号“{}”:d<={b[2:1],c[1],a[2:1]}即把b的低1~2位10,c的低1位0,a的低1~2位01拼接起来,获得10001。流水灯4'b00014'b00104'b01004'b1000相当于把低三位左移,并最高位放在最低位。用位拼接可写为:led<={led[2:0],led[3]};低三位最高位六、实验方法和步骤(一)时钟分频1、编写分频程序。2、编写测试程序。3、进行仿真,波形如图3所示。图3分频仿真结果(二)流水灯1、编写分频程序。3、编写测试程序。3、进行仿真,为了节约时间和方便察看波形,将计数器值分别改为24、49跳转。波形如图4所示。图4流水灯仿真结果七、实验参照程序(一)时钟分频1、程前言件modulediv_clk(//模块名与文件名一致。定义端口列表,inputwireclk,//输入线型inputwirerst_n,outputregclk_4//输出定义为存放器型);reg[3:0]cnt;//中括号定义位宽,定义中间变量cntalways@(posedgeclk)if(rst_n==0)cnt<=0;//复位为0,计数器也为0elseif(cnt==3)//当计数器=3时清零(可用elseif)cnt<=0;elsecnt<=cnt+1;//计数器自加1always@(posedgeclk)if(rst_n==0)clk_4<=0;//复位为0.clk_4为0elseif(cnt==1)clk_4<=1;//当计数器为1时,时钟跳变为1精心整理页眉内容elseif(cnt==3)clk_4<=0;//当计数器为3时,时钟跳变为0endmodule2、测试文件`timescale1ns/1nsmoduletb_div_clk();regclk;regrst_n;wireclk_4;initialbeginclk=0;rst_n=0;#100rst_n=1;endalways#5clk=~clk;div_clkdiv_clk_inst(.clk(clk),.rst_n(rst_n),.clk_4(clk_4));endmodule(二)流水灯1、程前言件moduleLSD(inputwireclk,inputwirerst_n,outputreg[3:0]led);reg[25:0]cnt;regclk_4;always@(posedgeclk)if(rst_n==0)cnt<=0;elseif(cnt==49_999_999)cnt<=0;//模块名与文件名一致。定义端口列表,//输入线型//中括号定义位宽,定义中间变量cnt//复位为0,计数器也为0//当计数器=49999999时清零(可用elseif)elsecnt<=cnt+1;always@(posedgeclkornegedgeclk)if(rst_n==0)clk_4<=0;elseif(cnt==24_999_999)clk_4<=1;elseif(cnt==49_999_999)//计数器自加1//异步复位//复位为0.clk_4为0//当计数器为时,时钟跳变为1精心整理页眉内容clk_4<=0;//当计数器为时,时钟跳变为0elseclk_4=clk_4;always@(posedgeclk_4ornegedgeclk_4)if(rst_n==0)led<=4'b0001;elseled<={led[2:0],led[3]};//位拼接endmodule2、测试文件`timescale1ns/1nsmoduleLSD();regclk;regrst_n;regcnt;wireled;initialbeginclk=0;rst_n=0;#100rst_n=1;endalways#5clk=~clk;LSDLSD_inst(.clk(clk),.rst_n(rst_n),.led(led));endmodule八、实验小结1、做实验要养成优异的习惯,每次做实验时,都要建立一个新的文件夹存放实验所需的程前言件,为仿真时增添文件做准备,也方便此后的查找和使用。2、写程序前要想清楚电路实现原理,根据所学数电知识对各个元器件进行控制。3、写程序时注意排版雅观整洁,同时注意增添说明。4、注意程序中模块名要和文件名一致,否则程序报错,无法编译经过。5、仿真时,可以选择不同的进制。在想要更改的地方右键,选择【Radix】,其中【Binary】为二进制。如图5所示。图5更改进制6、在流水灯仿真时,LED灯的波形一开始是错误的,因为程序中只检测了上涨沿always@(posedgeclk),加上下降沿检测always@(posedgeclkornegedgeclk),即可解决问题,成功绘制波形图。精心整理
本文档为【Verilog流水灯实验学习报告计划】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
天道酬勤
暂无简介~
格式:doc
大小:30KB
软件:Word
页数:5
分类:
上传时间:2022-11-02
浏览量:0