首页 EDA技术实验

EDA技术实验

举报
开通vip

EDA技术实验EDA技术实验 一:实验题目《VHDL设计选择器》 二、 实验目的: 1、学习quartus设计环境 ; 2、学习vhdl 文本格式输入法 三:实验器材:计算机 EDA实验箱 四:实验内容: 1、二选一数据选择器VHDL设计 ENTITY MUX21A IS PORT(A,B:IN BIT; S:IN BIT; Y:OUT BIT); END ENTITY MUX21A; ARCHITECTURE ONE OF M...

EDA技术实验
EDA技术实验 一:实验MATCH_ word word文档格式规范word作业纸小票打印word模板word简历模板免费word简历 _1714025480045_0目《VHDL设计选择器》 二、 实验目的: 1、学习quartus设计环境 ; 2、学习vhdl 文本 格式 pdf格式笔记格式下载页码格式下载公文格式下载简报格式下载 输入法 三:实验器材:计算机 EDA实验箱 四:实验内容: 1、二选一数据选择器VHDL设计 ENTITY MUX21A IS PORT(A,B:IN BIT; S:IN BIT; Y:OUT BIT); END ENTITY MUX21A; ARCHITECTURE ONE OF MUX21A IS BEGIN Y<=A WHEN S='0' ELSE B; END ARCHITECTURE ONE; 2、ENTITY mux21a IS PORT ( a, b :  IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; --说明语句 BEGIN    d <= a AND (NOT S);    e <= b AND s;    y <= d OR e; END ARCHITECTURE one ; 3、ENTITY mux21a IS PORT ( a, b :  IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN y <= (a AND (NOT s)) OR (b AND s); END ARCHITECTURE one; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八:实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验二、《四位二进制加法计数器设计》 一、实验题目:《四位二进制加法计数器设计》 二、 实验目的:1、熟悉quartus设计环境 2、熟悉vhdl 文本格式输入法 3、熟悉VHDL语言的基本结构 三:实验器材:计算机、EDA试验箱 四、实验内容:例题4-22 1、LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT (CLK,RST,EN : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10; ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST = '1' THEN CQI := (OTHERS =>'0') ; ELSIF CLK‘EVENT AND CLK=’1‘ THEN IF EN = ‘1' THEN IF CQI < 9 THEN CQI := CQI + 1; ELSE CQI := (OTHERS =>'0'); END IF; END IF; END IF; IF CQI = 9 THEN COUT <= '1'; ELSE COUT <= '0'; END IF; CQ <= CQI; END PROCESS; END behav; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八、实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验三、《全加器的原理图设计》 一、实验题目: 《全加器的原理图设计》 二、实验目的:1、熟悉quartus设计环境 2、学习图形格式输入法 三、实验器材:计算机,EDA试验箱 四、实验内容: 1、半加器 2、 全加器 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八、实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验四、《有时钟始能的2位十进制计数器原理图》 一、实验题目:《有时钟始能的2位十进制计数器原理图》 二、实验目的:1、熟悉quartus设计环境 2、进一步学习图形格式输入法 3、学习总线的使用 三、实验器材:计算机,EDA试验箱 四、实验内容: 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八、实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验五、《4位二进制加法器的设计》 一、实验题目:《4位二进制加法器的设计》 二、 实验目的:1、熟悉quartus设计环境 2、进一步学习文本格式输入法 3、学习进程语句的使用 三、实验器材:计算机,EDA试验箱 四、实验内容:例题4-21 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ; ARCHITECTURE bhv OF CNT4 IS SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; Q <= Q1 ; END PROCESS ; END bhv; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八、实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验六、《LED显示》 一实验题目:《LED显示》 二实验目的:1、熟悉quartus设计环境 2、进一步学习文本格式输入法 3、学习程序的下载和试验箱的使用 三实验器材:计算机、EDA试验箱 四实验内容:P154 (6-18) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECL7S IS PORT(A: IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END; ARCHITECTURE ONE OF DECL7S IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN "0000" => LED7S<="0111111"; WHEN "0001" => LED7S<="0000110"; WHEN "0010" => LED7S<="1011011"; WHEN "0011" => LED7S<="1001111"; WHEN "0100" => LED7S<="1100110"; WHEN "0101" => LED7S<="1101101"; WHEN "0110" => LED7S<="1111101"; WHEN "0111" => LED7S<="0000111"; WHEN "1000" => LED7S<="1111111"; WHEN "1001" => LED7S<="1101111"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八:实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 九:注意事项 一:器件的选择:P98 二:管脚的绑定P105 选择Assignment在Assignment Editor项,即进入如图所示的Assignment Editor编辑窗口。在Category栏中选择Pin,或直接单击右上侧的Pin按钮。 三:配置文件下载:P106 实验七、《数控分频器的设计》 一、实验题目《数控分频器的设计》 二、实验目的:1、熟悉quartus设计环境 2、进一步学习文本格式输入法 三、实验器材:计算机、EDA试验箱 四、实验内容: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PULSE IS PORT( CLK: IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); FOUT:OUT STD_LOGIC); END; ARCHITECTURE ONE OF PULSE IS SIGNAL FULL: STD_LOGIC; BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK='1' THEN IF CNT8="11111111" THEN CNT8:=D; FULL<='1'; ELSE CNT8:=CNT8+1; FULL<='0'; END IF; END IF; END PROCESS P_REG; P_DIV:PROCESS(FULL) VARIABLE CNT2:STD_LOGIC; BEGIN IF FULL'EVENT AND FULL='1' THEN CNT2:=NOT CNT2; IF CNT2='1' THEN FOUT<='1';ELSE FOUT<='0'; END IF; END IF; END PROCESS P_DIV; END; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八:实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验八、《4位十进制加法计数器的设计》 一、实验题目:《4位十进制加法计数器的设计》 二、实验目的:1、熟悉quartus设计环境 2、进一步学习文本格式输入法 3、学习程序的下载和试验箱的使用 三、实验器材:计算机、EDA实验箱 四、实验内容: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNTTEN IS PORT( CLK: IN STD_LOGIC; FOUT1,FOUT2,FOUT3,FOUT4:OUT INTEGER RANGE 9 DOWNTO 0; CO : OUT STD_LOGIC); END; ARCHITECTURE ONE OF CNTTEN IS SIGNAL CNT4,CNT4TWO,CNT4THREE,CNT4FOUR: INTEGER RANGE 9 DOWNTO 0; SIGNAL FULL,FULLTWO,FULLTHREE,FULLFOUR: STD_LOGIC; BEGIN P_GEWEI:PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN IF CNT4 =9 THEN CNT4<=0;FULL<='1'; ELSE CNT4<=CNT4+1; FULL<='0'; END IF; END IF; END PROCESS P_GEWEI; P_SHIWEI:PROCESS(FULL) BEGIN IF FULL'EVENT AND FULL='1' THEN IF CNT4TWO =9 THEN CNT4TWO<=0;FULLTWO<='1'; ELSE CNT4TWO<=CNT4TWO+1; FULLTWO<='0'; END IF; END IF; END PROCESS P_SHIWEI; P_BAIWEI:PROCESS(FULLTWO) BEGIN IF FULLTWO'EVENT AND FULLTWO='1' THEN IF CNT4THREE =9 THEN CNT4THREE<=0;FULLTHREE<='1'; ELSE CNT4THREE<=CNT4THREE+1; FULLTHREE<='0'; END IF; END IF; END PROCESS P_BAIWEI; P_QIANWEI:PROCESS(FULLTHREE) BEGIN IF FULLTHREE'EVENT AND FULLTHREE='1' THEN IF CNT4FOUR=9 THEN CNT4FOUR<=0;FULLFOUR<='1'; ELSE CNT4FOUR<=CNT4FOUR+1; FULLFOUR<='0'; END IF; END IF; END PROCESS P_QIANWEI; FOUT1<=CNT4; FOUT2<=CNT4TWO; FOUT3<=CNT4THREE; FOUT4<=CNT4FOUR; CO<=FULLFOUR; END; 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八:实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 实验九、《十进制数自动译码显示》 一实验题目:《十进制数译码自动显示》 二实验目的:1、熟悉quartus设计环境 2、进一步学习文本格式输入法 3、学习程序的下载和试验箱的使用 三实验器材:计算机、EDA试验箱 四实验内容: 五:实验数据图形: (1)仿真结果(打印) (2)文字阐述:对仿真数据进行分析 六:实验流程: 教材5.1节,EDA的设计流程进行简单说明(在QUARTUS软件中输入VHDL文本文件,编译、仿真,锁定管脚并下载到目标芯片。) 七:心得体会:自己完成 八:实验要求: 1、上课之前预习 2、程序输入后,进行编译仿真,并记录仿真波形 3、实验报告包括程序和仿真波形。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT (CLK : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10; ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CO : STD_LOGIC; BEGIN IF CLK'EVENT AND CLK='1' THEN IF CQI="1001" THEN CQI :="0000";CO:='1'; ELSE CQI := CQI + "0001"; CO:='0'; END IF; END IF; CQ <= CQI; COUT<=CO; END PROCESS; END behav; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DEL7S IS PORT(A: IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END; ARCHITECTURE ONE OF DEL7S IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN "0000" => LED7S<="0111111"; WHEN "0001" => LED7S<="0000110"; WHEN "0010" => LED7S<="1011011"; WHEN "0011" => LED7S<="1001111"; WHEN "0100" => LED7S<="1100110"; WHEN "0101" => LED7S<="1101101"; WHEN "0110" => LED7S<="1111101"; WHEN "0111" => LED7S<="0000111"; WHEN "1000" => LED7S<="1111111"; WHEN "1001" => LED7S<="1101111"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END;
本文档为【EDA技术实验】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_063077
暂无简介~
格式:doc
大小:387KB
软件:Word
页数:24
分类:工学
上传时间:2012-03-28
浏览量:58