首页 第七章 半导体存储器

第七章 半导体存储器

举报
开通vip

第七章 半导体存储器null第七章 半导体存储器第七章 半导体存储器内容提要 本章将系统地介绍各种半导体存储器的工作原理和使用方法。半导体存储器包括只读存储器(ROM)和随机存储器(RAM)。在只读存储器中,介绍了掩模ROM、PROM和快闪存储器等不同类型的ROM的工作原理和特点;而在随机存储器中,介绍了静态RAM(SRAM)和动态RAM(DRAM)两种类型。此外,也介绍了存储器扩展容量的连接方法以及用存储器设计组合逻辑电路,重点放在这里。本章内容本章内容7.1 概述 7.2 只读存储器(ROM) 7.3 随机存储...

第七章  半导体存储器
null第七章 半导体存储器第七章 半导体存储器内容提要 本章将系统地介绍各种半导体存储器的工作原理和使用方法。半导体存储器包括只读存储器(ROM)和随机存储器(RAM)。在只读存储器中,介绍了掩模ROM、PROM和快闪存储器等不同类型的ROM的工作原理和特点;而在随机存储器中,介绍了静态RAM(SRAM)和动态RAM(DRAM)两种类型。此外,也介绍了存储器扩展容量的连接方法以及用存储器设计组合逻辑电路,重点放在这里。本章内容本章内容7.1 概述 7.2 只读存储器(ROM) 7.3 随机存储器(RAM) 7.4 存储器容量的扩展 7.5 用存储器实现组合逻辑函数7.1 概述7.1 概述1. 半导体存储器的定义 半导体存储器就是能存储大量二值信息(或称作二值数据)的半导体器件。它是属于大规模集成电路,由于计算机以及一些数字系统中要存储大量的数据,因此存储器是数字系统中不可缺少的组成部分,其组成框图如图7.1.1所示。2.存储器的性能指标2.存储器的性能指标 由于计算机处理的数据量很大,运算速度越来越快,故对存储器的速度和容量有一定的要求。所以将存储量和存取速度作为衡量存储器的重要性能指标。目前动态存储器的容量已达109位/片,一些高速存储器的存取时间仅10ns左右。7.1 概述3.半导体存储器的分类(1)从存取功能上分类 从存取功能上可分为只读存储器(Read-Only Memory,简称ROM)和随机存储器(Random Access Memory,简称RAM)。a. ROM :a. ROM :ROM的特点是在正常工作状态下只能从中读取数据,不能快速随时修改或重新写入数据。其电路结构简单,而且断电后数据也不会丢失。缺点是只能用于存储一些固定数据的场合。7.1 概述ROM可分为掩模ROM、可编程ROM(Programmable Read-Only Memory,简称PROM)和可擦除的可编程ROM(Erasable Programmable Read-Only Memory,简称EPROM)。*掩模ROM在制造时,生产厂家利用掩模技术把数据写入存储器中,一旦ROM制成,其存储的数据就固定不变,无法更改。**PROM在出厂时存储内容全为1(或者全为0),用户可根据自己的需要写入,利用通用或专用的编程器,将某些单元改写为0(或为1)。**PROM在出厂时存储内容全为1(或者全为0),用户可根据自己的需要写入,利用通用或专用的编程器,将某些单元改写为0(或为1)。***EPROM是采用浮栅技术的可编程存储器,其数据不但可以由用户根据自己的需要写入,而且还能擦除重写,所以具有较大的使用灵活性。它的数据的写入需要通用或专用的编程器,其擦除为照射擦除,为一次全部擦除。电擦除的PROM有 E2PROM和快闪ROM。7.1 概述**PROM在出厂时存储内容全为1(或者全为0),用户可根据自己的需要写入,利用通用或专用的编程器,将某些单元改写为0(或为1)。b.随机存储器RAM(读写存储器)b.随机存储器RAM(读写存储器) 随机存储器为在正常工作状态下就可以随时向存储器里写入数据或从中读出数据。 根据采用的存储单元工作原理不同随机存储器又可分为静态存储器(Static Random Access Memory,简称SRAM)和动态存储器(Dynamic Random Access Memory,简称DRAM)7.1 概述 SRAM的特点是数据由触发器记忆,只要不断电,数据就能永久保存 。但SRAM存储单元所用的管子数量多,功耗大,集成度受到限制,为了克服这些缺点,则产生了DRAM。它的集成度要比SRAM高得多,缺点是速度不如SRAM。(2)从制造 工艺 钢结构制作工艺流程车尿素生产工艺流程自动玻璃钢生产工艺2工艺纪律检查制度q345焊接工艺规程 上分类(2)从制造工艺上分类 RAM使用灵活方便,可以随时从其中任一指定地址读出(取出)或写入(存入)数据,缺点是具有数据的易失性,即一旦失电,所存储的数据立即丢失。 从制造工艺上存储器可分为双极型和单极型(CMOS型),由于MOS电路(特别是CMOS电路),具有功耗低、集成度高的优点,所以目前大容量的存储器都是采用MOS工艺制作的。7.1 概述7.2 只读存储器(ROM)7.2 只读存储器(ROM)7.2.1 掩模只读存储器 在采用掩模工艺制作ROM时,其中存储的数据是由制作过程中使用的掩 模板 个人简介word模板免费下载关于员工迟到处罚通告模板康奈尔office模板下载康奈尔 笔记本 模板 下载软件方案模板免费下载 决定的,此模板是厂家按照用户的要求专门设计的,因此出厂时数据已经“固化”在里面了。1. ROM的组成: ROM电路结构包含存储矩阵、地址译码器和输出缓冲器三个部分,其框图如图7.2.1所示。a.存储矩阵a.存储矩阵 存储矩阵是由许多存储单元排列而成。存储单元可以是二极管、双极型三极管或MOS管,每个单元能存放1位二值代码(0或1),而每一个或一组存储单元有一个相应的地址代码。7.2.1 掩模只读存储器b.地址译码器b.地址译码器b.地址译码器c. 输出缓冲器 输出缓冲器的作用提高存储器的带负载能力,另外是实现对输出状态的三态控制,以便与系统的总线相联。 地址译码器是将输入的地址代码译成相应的控制信号,利用这个控制信号从存储矩阵中把指定的单元选出,并把其中的数据送到输出缓冲器7.2.1 掩模只读存储器2. 二极管ROM电路2. 二极管ROM电路7.2.1 掩模只读存储器 图7.2.2是具有2位地址输入码和4位数据输出的ROM电路。其地址译码器是由4个二极管与门构成,存储矩阵是由二极管或门构成,输出是由三态门组成的。其中:其中:地址译码器是由4个二极管与门组成,A1、A0称为地址线,译码器将4个地址码译成W0~W3 4根线上的高电平信号。 W0~W3叫做字线。7.2.1 掩模只读存储器存储矩阵是由4个二极管或门组成的编码器,当W0~W3每根线分别给出高电平信号时,都会在D0~D34根线上输出二进制代码, D0~D3称为位线(或数据线)。null7.2.1 掩模只读存储器字线位线null输出端的缓冲器用来提高带负载能力,并将输出的高低电平变换成 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的逻辑电平。同时通过给定 EN 信号实现对输出的三态控制,以便与总线相联。在读出数据时,只要输入指定的地址代码,同时令 EN =0,则指定的地址内各存储单元所存数据便出现在数据输出端。7.2.1 掩模只读存储器null图7.2.2的存储的内容见表7.2.17.2.1 掩模只读存储器图7.2.3也可以用简化画法。凡是有二极管的位置,均用交叉点“.”表示,并且省略电阻、输出缓冲器和电源等符号,如图7.2.4所示。7.2.1 掩模只读存储器图7.2.3也可以用简化画法。凡是有二极管的位置,均用交叉点“.”表示,并且省略电阻、输出缓冲器和电源等符号,如图7.2.4所示。null注: a. 通常将每个输出的代码叫一个“字”(WORD),W0~W1为字线,D0~D3为位线,其相交叉的点就是一个存储单元,其中有二极管的相当于存1,没有二极管相当于存0.因此交叉点的数目即为存储单元数。习惯上用存储单元的数目表示存储器的存储量(或称为容量)即b. 二极管ROM的电路结构简单,故集成度可以做的很高,可批量生产,价格便宜。c. 可以把ROM看成一个组合逻辑电路,每一条字线就是对应输入变量的最小项,而位线是最小项的或,故ROM可实现逻辑函数的与-或标准式。7.2.1 掩模只读存储器存储容量=字数×位数如上述ROM的存储量为4×4=16位 。(2)由CMOS构成(2)由CMOS构成 利用MOS工艺制成的ROM,其译码器、存储矩阵和输出缓冲器全部采用MOS管。图7.2.5只给出存储矩阵的原理图。存储的数据与表7.2.1相同。7.2.1 掩模只读存储器null由图中可以看出,字线和位线的交叉点,接MOS管的相当于存1,没有的相当于存0.当某根字线为高电平时,接在其上的MOS导通,其位线为低电平,通过三态非门后,输出数据为1.7.2.1 掩模只读存储器null掩模ROM的特点:出厂时已经固定,不能更改,适合大量生产简单,便宜,非易失性7.2.1 掩模只读存储器7.2.2 可编程只读存储器(PROM)7.2.2 可编程只读存储器(PROM) 在开发数字电路新产品的工作过程中,或小批量生产产品时,由于需要的ROM数量有限,设计人员经常希望按照自己的设想迅速写入所需要内容的ROM。这就出现了PROM--可编程只读存储器。 PROM的整体结构和掩模ROM一样,也有地址译码器、存储矩阵和输出电路组成。但在出厂时存储矩阵的交叉点上全部制作了存储单元,相当于存入了1.如图7.2.6所示 在图7.2.6中,三极管的be结接在字线和位线之间,相当于字线和位线之间的二极管。快速熔断丝接在发射极,当想写入0时,只要把相应的存储单元的熔断丝烧断即可。但只可编写一次null图7.2.7为16×8位的PROM结构原理图。写入时,要使用编程器7.2.1 可编程只读存储器(PROM)null 由此可见PROM的内容一旦写入则无法更改,只可以写一次,为了能够经常修改存储的内容,满足设计的要求,需要能多次修改的ROM,这就是可擦除重写的ROM。这种擦除分为紫外线擦除(EPROM)和电擦除E2PROM,及快闪存储器(Flash Memory)。7.2.3 可擦除的可编程只读存储器(EPROM)一、 EPROM(Ultra-Violet Erasable Programmable Read-Only Memory,简称UVEPROM) EPROM和前面的PROM在总体结构上没有大的区别,只是存储单元不同,采用叠栅注入MOS管(Stacked-gate Injuction Metal-Oxide-Semiconductor,简称SIMOS)做为存储单元。null7.2.3 可擦除的可编程只读存储器(EPROM)1. 采用叠栅技术的MOS管-SIMOS图7.2.8为SIMOS的结构原理图和符号。它是一个N沟道增强型MOS管,有两个重叠的栅极--控制栅GC和浮置栅Gf。控制栅GC用于控制读写,浮置栅Gf用于长期保存注入的电荷。null7.2.3 可擦除的可编程只读存储器(EPROM) 当浮置栅上没注入电荷时,在控制栅上加上正常电压时能够使漏源之间 产生导电沟道,SIMOS管导通。但当浮置栅注入负电荷以后,必须在控制栅上加更高的电压,才能抵消浮置栅上负电荷形成导电沟道,故SIMOS管在栅极加正常电压时是不会导通的。2.工作原理null 由SIMOS管构成的存储单元如图7.2.9所示。7.2.3 可擦除的可编程只读存储器(EPROM) 当设计人员想写入“1”时,首先应在漏-源之间加较高的电压(20V~25V),发生雪崩击穿。同时在控制栅上加以高压脉冲(25V/50ms),在栅极电场的作用下,浮置栅上注入电荷。此时Gc加正常高电平时,SIMOS截止,Dj=1,而浮置栅未注入电荷, Gc加正常高电平时SIMOS导通, Dj=0.即写1的操作就是对浮置栅的充电操作。 SIMOS管的EPROM用紫外线擦除,再写入新的数据。null7.2.3 可擦除的可编程只读存储器(EPROM)常用的EPROM有2716(2K×8)、 2732(4K×8)、2764(8K×8)等, 型号后面的几位数表示的是存 储容量,单位为K。二 、E2PROM(Electrically Erasable Programmable Read-Only Memory,简写为E2PROM)二 、E2PROM(Electrically Erasable Programmable Read-Only Memory,简写为E2PROM)7.2.3 可擦除的可编程只读存储器(EPROM) 虽然紫外线擦除的EPROM具有重写功能,但擦除操作复杂,速度慢。为了祢补这些不足,则产生了用电信号擦除的PROM就是E2PROM 。 E2PROM的存储单元采用浮栅隧道氧化层MOS管,简称Flotox管,其结构图和符号如图7.2.11所示。null Flotox的结构与SIMOS管相似,也是N沟道MOS管,也有两个栅极--控制栅Gc和浮置栅Gf。不同的是Flotox管的浮置栅和漏区之间有个氧化层极薄的区域( < 2×10-8m)-隧道区。当隧道区的电场达到一定程度( 107V/cm)时,便在漏区和浮置栅之间出现导电隧道,电子可以双向通过,形成电流。7.2.3 可擦除的可编程只读存储器(EPROM)在使用Flotox管做存储单元时,为了提高擦、写的可靠性,在E2PROM的存储单元中除了Flotox管子外,还有一个选通管,如图7.2.12所示。在使用Flotox管做存储单元时,为了提高擦、写的可靠性,在E2PROM的存储单元中除了Flotox管子外,还有一个选通管,如图7.2.12所示。7.2.3 可擦除的可编程只读存储器(EPROM)*工作原理:a. 读出状态 在读出时,控制栅Gc加+3V电压,如图7.2.12所示,若Wj=1,此时选通管T2导通,若Flotox的浮置栅没充电荷,则T1导通,在位线Bj上读出为0 ;若Flotox的浮置栅上充有电荷,则T1截止,在位线Bj上读出为1.b. 擦除(写1)状态b. 擦除(写1)状态7.2.3 可擦除的可编程只读存储器(EPROM) 当擦除状态时,在控制栅和位线加高电压脉冲(20V/10ms),使得浮置栅上存储电荷。当控制栅加正常电压时,Flotox管截止,一个字节被擦除,则这个字节的所有存储单元为1的状态。c.写入(写0)状态c.写入(写0)状态 在写入情况下,令控制栅为0V,同时在在字线和位线上加20V/10ms的脉冲电压,应使写入的那些单元的Flotox管的浮置栅放电,然后在控制栅Gc加正常的+3V电压,使Flotox管导通,则所存储的内容为0.注:虽然E2PROM改用电信号擦除,但由于擦除和写入需要加高电压脉冲,且擦除和写入的时间仍然较长,所以正常工作只做ROM用。7.2.3 可擦除的可编程只读存储器(EPROM)三、 快闪存储器(Flash Memory)三、 快闪存储器(Flash Memory) 其结构和EPROM中的SIMOS管相似,只是浮置栅和衬底之间的氧化层的厚度不同,快闪存储器中的此厚度很薄,仅为10~15nm。以及另外一些特殊的制造技术。因此快闪存储器即吸收了EPROM的结构简单、编程可靠的优点,也保留了E2PROM擦除的快捷特性,且集成度很高。7.2.3 可擦除的可编程只读存储器(EPROM)为提高集成度,省去T2(选通管)改用叠栅MOS管(类似SIMOS管)叠栅MOS管和flash存储单元如图7.2.13所示。null7.2.3 可擦除的可编程只读存储器(EPROM)*工作原理:a.读出状态:若字线为高电平,即Wj=1,存储单元的公共端Vss=0.若浮栅无充电,则叠栅MOS管导通,位线Bj输出低电平;若浮栅上充有负电荷,则叠栅MOS管截止,位线Bj输出高电平。b. 写入状态:b. 写入状态: 首先在叠栅MOS管的漏极经位线加较高的电平(6V),Vss=0V,在 控制栅加一个幅度较大(12V/10μs)的正脉冲,使得管子发生雪崩击穿,浮置栅出现充电电荷。此时由于叠栅MOS管的开启电压提高,使得字线上加正常的逻辑电平时管子不会导通,写入1。7.2.3 可擦除的可编程只读存储器(EPROM)c. 擦除状态:c. 擦除状态: 擦除是利用隧道效应。在控制栅处于低电平(0V),源极加高幅度正脉冲( 12V/100ms)的情况下,浮置栅和源极之间产生隧道效应,浮置栅的电荷通过隧道区放电,此时管子的开启电压降低,当字线加正常高电平(5V)时,管子就会导通。由于存储单元的源极都是连在一起的,故全部的存储单元同时被擦除,这是和E2PROM不同的一个地方。7.2.3 可擦除的可编程只读存储器(EPROM)7.3 随机存储器(RAM)7.3 随机存储器(RAM) 随机存储器也叫随机读/写存储器,即在RAM工作时,可以随时从任一指定的地址读出数据,也可随时将数据写入指定的存储单元。其特点是:读、写方便,使用灵活。缺点是:存入的数据易丢失(即停电后数据随之丢失)。分类:静态随机存储器(SRAM)和动态随机存储器(DRAM)。7.3.1 静态随机存储器(SRAM)一 、 SRAM的结构和工作原理 SRAM电路一般由存储矩阵、地址译码器和读/写控制电路(也叫输入/输出电路)三部分组成,其框图如图7.3.1所示。其中:其中:*存储矩阵:它是由许多存储单元排列而成,每个存储单元都能存储1位二值数据(1或0),在译码器和读/写电路的控制下,即可写入数据,也可读出数据。7.3.1 静态随机存储器(SRAM)*地址译码器:*地址译码器: 地址译码器一般都分为行地址译码器和列地址译码器两部分。行地址译码器将输入的地址代码的若干位A0~Ai译成某一条字线的输出高、低电平信号,从存储矩阵中选中一行存储单元;7.3.1 静态随机存储器(SRAM)null列地址译码器将输入地址代码的其余几位Ai+1 ~An-1译成某一根输出线上的高、低电平信号,从字线选中的一行存储单元中再选1位(或几位),使这些被选中的单元经读/写控制电路与输入/输出接通,以便对这些单元进行读、写操作。7.3.1 静态随机存储器(SRAM)*读/写控制电路:*读/写控制电路: 读/写控制电路用于对电路的工作状态进行控制。当读/写控制信号R/W =1时,执行读操作,将存储单元里的数据送到输入/输出端上;当 R/W =0时,执行写操作,加到输入/输出端上的数据被写入存储单元中。在读/写控制电路中另设有片选输入端 CS 。当CS =0时,RAM为正常工作状态;当CS =1时,所有的输入/输出端均为高阻态,不能对RAM进行读/写操作。7.3.1 静态随机存储器(SRAM)注:上述框图的双向箭头表示一组可双向传输数据的导线,它所包含的导线的数目等于并行输入/输出数据的位数。注:上述框图的双向箭头表示一组可双向传输数据的导线,它所包含的导线的数目等于并行输入/输出数据的位数。7.3.1 静态随机存储器(SRAM)**总之,一个RAM有三根线:①地址线是单向的,它传送地址码(二进制),以便按地址访问存储单元。②数据线是双向的,它将数据码(二进制数)送入存储矩阵或从存储矩阵读出。③读/写控制线传送读(写)命令,即读时不写,写时不读。图7.3.2为1024×4位的RAM2114的工作原理图图7.3.2为1024×4位的RAM2114的工作原理图7.3.1 静态随机存储器(SRAM)null地址译码器:10根地址线A0~A9,分2组,6根行地址输入线A8~A3加到行地址译码器上,其输出为26=64根行地址输出线X0~X63;4根列地址输入线A2~A0、A9加到列地址译码器上,译出24= 16列地址输出线,其输出信号从已选中一行里挑出要读写的4个存储单元,即每个字线包含4位I/O1~ I/O4。7.3.1 静态随机存储器(SRAM)逻辑符号如图7.3.3所示其中:存储单元:64×64=4096,排列成64行和64列的矩阵null*I/O1~ I/O4:数据输入端也是数据读出端。读/写操作是由 R/W 和 CS 控制的。*读/写控制:当 CS =0, R/W =1时,为读出状态,存储矩阵地数据被读出,数据从I/O1~ I/O4输出。当CS =0, R/W =0时,执行写入操作,I/O1~ I/O4上的数据写入到存储矩阵中。7.3.1 静态随机存储器(SRAM)若CS =1 ,则所有的I/O端都处于禁止状态,将存储器内部电路与外部连线隔离,此时可以直接把I/O1~ I/O4与系统总线相连,或将多片2114的输入/输出端并联使用。* 存储矩阵:2114中有64行×(16×4)列=4096个存储单元,每个存储单元都是由6个NMOS管组成,其示意图如图7.3.4所示。* 存储矩阵:2114中有64行×(16×4)列=4096个存储单元,每个存储单元都是由6个NMOS管组成,其示意图如图7.3.4所示。如:A9A2~A0=0001,A8~A3=111110时,则Y1=1,X62=1,这样可对它们交点D4~D1进行读写操作。7.3.1 静态随机存储器(SRAM)二、 SRAM的静态存储单元二、 SRAM的静态存储单元 静态存储单元是在静态触发器的基础上附加门控管而成,它是靠触发器的自保持功能存储数据的。 图7.3.5是由六只N沟道增强型MOS管组成的静态存储单元。1.MOS管构成:7.3.1 静态随机存储器(SRAM)其中:其中:7.3.1 静态随机存储器(SRAM)T1~T4:组成基本SR锁存器,用于记忆一位二值代码;T5、T6 :是门控管,作模拟开关使用,用来控制触发器的Q、Q ,和 位线Bj、Bj之间的联系。 T5、T6的开关状态是由 字线Xi 决定,当Xi =1时, T5、T6导通,锁存器的输出和位线接通;当 Xi=0时, T5、T6截止,锁存器与位线断开。T5、T6的开关状态是由 字线Xi 决定,当Xi =1时, T5、T6导通,锁存器的输出和位线接通;当 Xi=0时, T5、T6截止,锁存器与位线断开。7.3.1 静态随机存储器(SRAM)T7、T8 :是每一列存储单元公用的两个门控管,用于和读/写缓冲放大器之间的连接T7、T8是由列地址译码器的输出端Yj来控制的。当 Yj =1时,所在的列被选中 ,T7、T8导通,这时第i行第j 列的单元的单元与缓冲器相连;当 Yj =0 时, T7、T8截止。T7、T8是由列地址译码器的输出端Yj来控制的。当 Yj =1时,所在的列被选中 ,T7、T8导通,这时第i行第j 列的单元的单元与缓冲器相连;当 Yj =0 时, T7、T8截止。7.3.1 静态随机存储器(SRAM)工作原理:工作原理:7.3.1 静态随机存储器(SRAM)当存储单元所在的一行和所在地一列同时被选中以后,即Xi =1 ,Yj =1 ,T5、T6 、T7、T8均处于导通状态, Q、Q 和 Bj、Bj之间接通。若这时CS =0,R/W =1,则读/写缓冲放大器的A1接通,A2、A3不通,Q的状态经A1送到I/O端,实现数据读出若 CS =0,R/W =0 ,则A1不通, A2、A3接通,加到I/O的数据被写入存储单元。若 CS =0,R/W =0 ,则A1不通, A2、A3接通,加到I/O的数据被写入存储单元。注:由于CMOS电路的功耗极低,虽然制造工艺比较复杂,但大容量的静态存储器几乎全部采用CMOS存储单元7.3.1 静态随机存储器(SRAM)2.双极型SRAM的存储单元(自学)2.双极型SRAM的存储单元(自学)7.3.1 静态随机存储器(SRAM)*7.3.2 动态随机存储器(DRAM)(自学)7.4 存储容量的扩展 当使用一片ROM或RAM器件不能满足对存储容量的需求时,则需要将若干片ROM或RAM组合起来,构成更大容量的存储器。存储容量的扩展方式有两种:位扩展方式和字扩展方式。7.4.1 位扩展方式 若每一片ROM或RAM的字数够用而位数不足时,应采用位扩展方式。接法:将各片的地址线、读写线、片选线并联即可图7.4.1是用8片1024×1的RAM构成1024×8的RAM接线图。图7.4.1是用8片1024×1的RAM构成1024×8的RAM接线图。7.4.1 位扩展方式null图7.4.2是由两片2114扩展成1024×8位的RAM电路连线图。7.4.1 位扩展方式7.4.2 字扩展方式7.4.2 字扩展方式 若每一片存储器(ROM或RAM)的数据位数够而字数不够时,则需要采用字扩展方式,以扩大整个存储器的字数,得到字数更多的存储器。例7.4.1 用4片256×8位的RAM接成一个1024×8位的RAM接线图解:每一片256×8的A0~ A7可提供28=256个地址,为0~0到1~1,用扩展的字A8、 A9构成的两位代码区别四片256×8的RAM,即将A8、 A9译成四个低电平信号,分别接到四片256×8RAM的CS ,如下表每一片256×8的A0~ A7可提供28=256个地址,为0~0到1~1,用扩展的字A8、 A9构成的两位代码区别四片256×8的RAM,即将A8、 A9译成四个低电平信号,分别接到四片256×8RAM的CS ,如下表7.4.2 字扩展方式四片256×8RAM地址分配为四片256×8RAM地址分配为7.4.2 字扩展方式实现的电路如图7.4.3所示实现的电路如图7.4.3所示7.4.2 字扩展方式图7.4.4为由4片2114构成的4096×4位RAM的电路连线图。图7.4.4为由4片2114构成的4096×4位RAM的电路连线图。7.4.2 字扩展方式其各片RAM电路的地址分配如表7.2.1其各片RAM电路的地址分配如表7.2.17.4.2 字扩展方式注:由于ROM芯片上没有读/写控制端,所以除此之外位扩展方式其余引出线的接法和RAM相同;而字扩展方式也同样适用于ROM。 如果一片RAM或ROM的位数和字数都不够,就需要同时采用位扩展和自扩展方法,用多片组成一个大的存储器系统,以满足对存储容量的要求。 如果一片RAM或ROM的位数和字数都不够,就需要同时采用位扩展和自扩展方法,用多片组成一个大的存储器系统,以满足对存储容量的要求。7.4.2 字扩展方式例7.4.2 试用256×4位的RAM,用复合扩展的方法组成1024×8位的RAM。要求:①画出连线图;②指出当R/W=1,地址为0011001100时,哪个芯片组被选通?③指出芯片组(0)、(1)、(2)、(3)的地址范围。解 :(1)先用位扩展方式构成256×8位的RAM,其连线图如图7.4.5所示;再由字扩展方式构成1024×8位RAM,如图7.4.6所示,所以一共用了8片256×4位的RAM。再由字扩展方式构成1024×8位RAM,如图7.4.6所示,所以一共用了8片256×4位的RAM。7.4.2 字扩展方式(2) 当地址码为0011001100,且R/W=1 时,A9A8=00,256×8(1)组被选中,其他组被封锁。(2) 当地址码为0011001100,且R/W=1 时,A9A8=00,256×8(1)组被选中,其他组被封锁。(3)256×8(1)的地址为(0000000000)B~(0011111111)B ; 256×8(2)的地址为(0100000000)B~(0111111111)B ; 256×8(3)的地址为(1000000000)B~(1011111111)B ; 256×8(4)的地址为(1100000000)B~(1111111111)B 。7.4.2 字扩展方式7.5 用存储器实现组合逻辑函数7.5 用存储器实现组合逻辑函数对于前面讲过的二极管掩模ROM中,有一个数据输出表(如下)可以看出,若把地址输入A1和A0看成是两个输入变量,数据输出看成是一组输出变量,则D3~D0就是一组A1~A0的组合逻辑函数。可写成:可以看出,若把地址输入A1和A0看成是两个输入变量,数据输出看成是一组输出变量,则D3~D0就是一组A1~A0的组合逻辑函数。可写成:7.5 用存储器实现组合逻辑函数*由于任何组合逻辑函数都可以写成最小项之和的形式,因此任何组合逻辑函数都可以通过向ROM中写入相应的数据来实现。*由于任何组合逻辑函数都可以写成最小项之和的形式,因此任何组合逻辑函数都可以通过向ROM中写入相应的数据来实现。*用具有n位输入地址、m位数据输出的ROM可以获得不大于m个任何形式的n变量组合逻辑函数。这也适合RAM。7.5 用存储器实现组合逻辑函数例7.5.1 试用ROM产生下列一组组合逻辑函数解:首先将所给的逻辑函数展成最小项之和的形式。解:首先将所给的逻辑函数展成最小项之和的形式。由于要实现的是4个逻辑函数,且逻辑函数为4变量的,所以需要4位地址输入和4位数据输出,故选16×4的ROM实现。7.5 用存储器实现组合逻辑函数其连线图如图7.5.1所示其连线图如图7.5.1所示7.5 用存储器实现组合逻辑函数例7.5.2 试用ROM设计一个2位二进制数的比较器。设这两个2位数分别为A=A1A0,B=B1B0。当AB时,Y3=1.例7.5.2 试用ROM设计一个2位二进制数的比较器。设这两个2位数分别为A=A1A0,B=B1B0。当AB时,Y3=1.解:由 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 意可得真值表为7.5 用存储器实现组合逻辑函数则选用16×3的ROM,实现电路如图7.5.2所示。则选用16×3的ROM,实现电路如图7.5.2所示。7.5 用存储器实现组合逻辑函数7.5 用存储器实现组合逻辑函数例7.5.3 试用8×4位ROM实现一个排队组合电路,电路的功能是输入信号A、B、C通过排队电路后分别由YA、YB、YC输出。但在同一时刻只能有一个信号通过,如果同时有2个以上信号通过时,则按A、B、C的优先顺序通过。解:根据题意可得真值表为则实现的电路如图7.5.3所示。7.5 用存储器实现组合逻辑函数7.5 用存储器实现组合逻辑函数例7.5.4在图7.5.4(a)所示电路中,若PROM存储矩阵地编程如图7.5.4(b)所示,试画出输出电压uo的波形。注:图7.5.3(a)的电子开关是由PROM的位线电平控制,当D=1时,开关接基准电压-U R;当D=0时,开关接地。7.5 用存储器实现组合逻辑函数解:由图7.5.4(b)得出输出数据端和地址码输入的关系表为解:由图7.5.4(b)得出输出数据端和地址码输入的关系表为7.5 用存储器实现组合逻辑函数由电路可得输出电压为由电路可得输出电压为7.5 用存储器实现组合逻辑函数7.5 用存储器实现组合逻辑函数将关系表的D3~D0代入上式,可得对应的输出电压如下表7.5 用存储器实现组合逻辑函数7.5 用存储器实现组合逻辑函数其输出电压波形如图7.5.5所示。7.5 用存储器实现组合逻辑函数作 业作 业题7.1 题7.6 题7.8 题7.10 题7.14
本文档为【第七章 半导体存储器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_114353
暂无简介~
格式:ppt
大小:5MB
软件:PowerPoint
页数:0
分类:工学
上传时间:2012-02-08
浏览量:25