首页 08级a

08级a

举报
开通vip

08级anullnull“交通灯控制与显示电路” 综合设计实验 编写人:佘新平 长江大学电工电子实验教学示范中心null一、实验目的 1.掌握组合逻辑电路的设计方法; 2.掌握时序逻辑电路的设计方法; 3.初步具备数字系统的综合设计能力; 4.学会数字电路的软件仿真; 5.掌握数字电路的安装和调试方法。null二、实验说明 该综合实验按分步实施方式进行。全部实验分为四部分: 第一,方案设计; 第二,组合逻辑电路的设计与实现; 第三,时序逻辑电路的设计与实现; 第四,采用CPLD/FPGA可编...

08级a
nullnull“交通灯控制与显示电路” 综合设计实验 编写人:佘新平 长江大学电工电子实验教学示范中心null一、实验目的 1.掌握组合逻辑电路的设计方法; 2.掌握时序逻辑电路的设计方法; 3.初步具备数字系统的综合设计能力; 4.学会数字电路的软件仿真; 5.掌握数字电路的安装和调试方法。null二、实验说明 该综合实验按分步实施方式进行。全部实验分为四部分: 第一, 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 设计; 第二,组合逻辑电路的设计与实现; 第三,时序逻辑电路的设计与实现; 第四,采用CPLD/FPGA可编程器件实现整个实验。 该综合设计实验采用理论设计、软件仿真和电路安装与调试三步走,以提高学生的综合设计与实践动手能力。null三、实验元器件 该综合实验采取两种不同的技术手段实现: 1.常用中、小规模数字电路:集成逻辑门、集成编码器、集成译码器、集成数字选择器、集成计数器等。 2.CPLD/FPGA可编程器件。null“交通灯控制与显示电路”综合设计实验(1) ——方案设计(课外完成)null一、问题描述 设计并实现一十字路口的红、绿、黄三色交通灯控制与显示电路,即每个路口设置一组红、黄、绿交通灯,按图1所示情况变化,以保证车辆、行人通行安全。图1null二、功能分析 1.基本功能(见前面图) 2.扩展功能 (1)特殊状态控制功能 特殊状态如紧急车辆随时通行功能受一开关控制,无急车时,信号灯按正常时序控制。有急车来时,将特殊状态开关按下,不管原来信号灯的状态如何,一律强制让两个方向的红灯同时点亮,禁止其它车辆通行,同时计时停止;特殊状态结束后,恢复原来状态继续运行。 (2)信号灯点亮时间预置功能 控制电路在任何时候可根据实际情况修改信号灯点亮时间。 (3)各路口交通灯故障报警功能(选做) 交通灯控制电路发出警报,说明各路口交通灯同时熄灭或点亮的情况不符合预定要求。null三、设计任务与要求 假设东西方向的绿灯点亮时间为Te ,同时也是南北方向的禁行时间;南北方向的绿灯点亮时间为Ts ,同时也是东西方向的禁行时间;此外,东西、南北方向的黄灯点亮时间均为Ty 。 1.参考图1,定义交通灯的状态,确定状态 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf ; 2.根据实际交通灯转换过程,设计交通灯的状态转换图; 3.按交通灯的功能要求,设计系统的电路模块图。null四、设计思路: 1.参考图1,定义交通灯的状态,确定状态表;null、状态转换图null2、设计的系统模块框图分为两部分 : 第一部分:组合逻辑电路部分 解决三个问题: (1)不同状态下交通灯的控制; (2)交通灯灯亮时间的预置; (3)交通灯灯亮时间的显示。null第二部分:时序逻辑电路部分 解决两个问题: (1)交通灯灯亮时间的倒计时; (2)交通灯不同状态的产生及其转换; null“交通灯控制与显示电路”综合设计实验(2) ——组合逻辑电路设计null一、任务与要求 由数据选择器、译码器和集成门等集成电路器件设计“交通灯控制与显示电路”中的组合逻辑电路部分,具体要求如下: (1)将状态信号译码为东西、南北方向6对交通灯的控制信号,实现正常时序控制功能; (2)特殊状态期间,东西、南北两个方向的红灯同时发亮,实现特殊状态控制功能; (3)将东西方向、南北方向的灯亮时间分别用数码管显示; (4)根据不同的状态信号分别预置相应的灯亮时间数据Te、Ty、Ts和Ty,其范围为00~99s,2位BCD码形式; (5)写出设计步骤,画出设计的逻辑电路图; (6)对设计的电路进行仿真、修改,使仿真结果达到设计要求; (7)安装并测试电路的逻辑功能。 null二、设计思路: 主要解决三个问题: (1)不同状态下交通灯的控制; (2)交通灯灯亮时间的预置; (3)交通灯灯亮时间的显示。null(1)状态译码电路、输出电路及其交通灯单元的设计思路 该电路的主要功能是依据不同的状态信号实现对交通灯的控制。其设计框图如图所示。 “状态译码电路”采用二进制译码器74LS138实现; “输出电路”采用集成逻辑门实现; “交通灯单元”采用普通的红色、绿色和黄色发光二极管; “接口电路”起信号驱动作用,视实验情况的不同选用。null 设灯亮为“1”,灯灭为“0”,交通灯的4种状态S0~S3分别编码为00、01、10、11, 特殊状态用S表示,进入特殊状态为“1”, 这时两个方向的红灯同时点亮,正常状态为“0”。则可列出真值表(学生做)。null(2)时间预置电路的设计思路 该电路的主要功能是依据不同的状态信号输入相应的时间预置数据,从而确定交通灯的灯亮时间。 null时间预置电路的设计框图null(3)计时显示电路的设计思路 将倒计时电路产生的输出经显示译码器CD4511和数码管进行显示。三、原理图绘制与电路仿真 用proteus软件绘制出该电路的原理图,对所设计的电路进行仿真实验。 在仿真过程中,分别改变状态信号及特殊状态信号,验证电路的逻辑功能是否达到设计要求。null四、电路安装与调试 1. 电路布局 在多孔电路实验板上装配电路时,首先应熟悉其结构。明确哪些孔眼是连通的,并安排好电源正、负引出线在实验板上的位置。 电路布局时应安排好各个集成块的位置,以方便连线为原则。电路与外接仪器的连接端、测试端要布置合理,便于操作。状态信号、预置数据的输入端点应方便改变其电平。 2.安装与调试方法 电路安装前,要先检测所用集成电路及其它元器件的好坏。安装完成后,要用万用表检测电路接触是否可靠、电源电压大小、极性是否正确。一切正常后才能通电调试。 实验调试时,注意发光二极管不能过亮。如果过亮,可串接一个100欧左右的限流电阻。 调试过程中,最好分块进行,如首先调试“状态译码电路”、 然后调试“输出电路及其交通灯单元”,最后调试“时间预置电路”。null五、设计、仿真及实验问题研究 六、设计与测试 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 要求 见实验电子文档。null“交通灯控制与显示电路”综合设计实验(3) ——时序逻辑电路设计null一、任务与要求 由集成触发器、集成计数器等集成器件设计“交通灯控制电路”中的时序逻辑电路部分,并在实验(2)的基础上完成整个控制电路的调试。具体要求如下: (1)交通灯的不同状态转换时分别产生相应的状态信号; (2)对交通灯不同状态的灯亮时间Te、Ty、Ts分别进行减法计数,实现倒计时功能; (3) 特殊状态期间,计时停止;特殊状态结束后,恢复正常计时; (4)写出设计步骤,画出设计的逻辑电路图; (5)对设计的电路进行仿真、修改,使仿真结果达到设计要求; (6)安装并测试电路的逻辑功能; (7)将实验(2)、实验(3)电路连接起来,完成整个控制电路的调试。null二、设计思路 (1)时间倒计时电路的设计思路 该电路在秒信号作用下,分别以不同状态的灯亮时间Te、Ty、Ts和Ty作为开始计时的初始值进行减法计数循环。 每当计时到00时,向“状态产生电路”发出计时结束信号。改变这些初始值即可实现信号灯点亮时间修改的功能。 采用2片具有置数功能的集成十进制加/减可逆计数器74LS192组成时间倒计时电路。nullnull时间倒计时电路的设计框图null(3)状态产生电路的设计思路 该电路的主要功能是根据实际交通灯的转换过程,产生相应的状态信号供其它电路使用。 根据设计思路,交通灯共有4个不同状态:00、01、10和11。只有当倒计时时间结束时交通灯的状态才发生变化,故可将“时间倒计时电路”产生的计时结束信号作为状态变化的控制信号。 为此,将该信号作为一个同步2位二进制加计数器的时钟脉冲即可,计数器采用JK触发器或D触发器实现。null三、原理图绘制与电路仿真 用proteus软件绘制出该电路的原理图,对所设计的电路进行仿真实验。 在仿真过程中,分别手工改变每个状态的时间预置数据,观察电路的仿真运行结果; 将实验(2)、实验(3)电路连接起来,验证电路的逻辑功能是否达到设计要求。null四、电路安装与调试 该电路与实验(1)电路之间的接口应方便连线,最好在各自的连接线上做好标记。 电路安装前,首先检测所用集成电路及其它元器件的好坏。安装完成后,要用万用表检测电路接触是否可靠、电源电压大小、极性是否正确。一切正常后才能通电调试。 实验调试时,利用实验室信号源产生秒脉冲信号。 分步调试: (1)手工设置某一时间预置数据,调试“时间倒计时电路”; (2)调试“状态产生电路”; (3)将实验(2)、实验(3)电路连接起来,完成整个控制电路的调试。null五、设计、仿真及实验问题研究 六、设计与测试报告要求 见实验电子文档。null
本文档为【08级a】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_728550
暂无简介~
格式:ppt
大小:577KB
软件:PowerPoint
页数:0
分类:工学
上传时间:2011-10-23
浏览量:9