首页 FPGA_三人投票表决器实验报告

FPGA_三人投票表决器实验报告

举报
开通vip

FPGA_三人投票表决器实验报告实验报告 一. 实验名称 三人投票表决器的实现 二. 实验目的 1) 熟练掌握QUARTUSII的操作方法 2) 了解VHDL程序书写基本语法规则 3) 熟悉原理图绘制的方法 4) 学会波形仿真验证结果 三. 实验原理 设开关状态0,1代表三人投票情况s1,s2,s3,二极管的亮灭代表投票结果win,lose。画卡诺图(表1): S1 s2s3 00 01 11 10 0 0 0 1 0 1 0 1 1 1           表格 1 化简卡诺图得:win=s1...

FPGA_三人投票表决器实验报告
实验 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 一. 实验名称 三人投票表决器的实现 二. 实验目的 1) 熟练掌握QUARTUSII的操作方法 2) 了解VHDL程序书写基本语法规则 3) 熟悉原理图绘制的方法 4) 学会波形仿真验证结果 三. 实验原理 设开关状态0,1代表三人投票情况s1,s2,s3,二极管的亮灭代表投票结果win,lose。画卡诺图(表1): S1 s2s3 00 01 11 10 0 0 0 1 0 1 0 1 1 1           表格 1 化简卡诺图得:win=s1s2+s2s3+s1s3 则:lose=~win 四. 实验过程 1) 创建工程 2) 新建VHDL文件,输入程序,结果见图表1: 图表 1 3) 编译工程,查看RTL,见图表2 图表 2 4) 创建波形仿真文件,设置s1,s2,s3的波形,进行仿真,查看结果见图表3: 图表 3 5)投票表决器除了可以用VHDL语言实现外还可以用原理图进行实现,见图表4: 图表 4 5) 用原理图法的实现接下来步骤同3)、4) 6) 引脚分配 7) 下载到试验箱,看结果 五. 实验 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 注意事项: 1) 修改完成VHDL文件或原理图文件后在进行下一步之前要对整个工程进行编译构建 2) 在波形仿真的时候选择好每个引脚的波形后按波形仿真按钮而不是编译按钮 3) 在同一个工程下各个新建文件必须与工程同名
本文档为【FPGA_三人投票表决器实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_003124
暂无简介~
格式:doc
大小:20KB
软件:Word
页数:4
分类:互联网
上传时间:2019-02-21
浏览量:125