首页 FPGA在数字滤波器设计中的应用

FPGA在数字滤波器设计中的应用

举报
开通vip

FPGA在数字滤波器设计中的应用 The Application of FPGAtoDesign of FIRDigital Filtes Qiu Jun,XIAN Long,LIN LI 1. Institute of Electric Ingineering Wuhan University, Wuhan 320072, China; 2. Institute of Electric Ingineering, Shaoyang College, Shaoyang Henan 422004, China Abstract:H ardw ar...

FPGA在数字滤波器设计中的应用
The Application of FPGAtoDesign of FIRDigital Filtes Qiu Jun,XIAN Long,LIN LI 1. Institute of Electric Ingineering Wuhan University, Wuhan 320072, China; 2. Institute of Electric Ingineering, Shaoyang College, Shaoyang Henan 422004, China Abstract:H ardw are im plem ention technique of FIR filters is analyzed. C om bining w ith the configuired A ltera C orporation s FL E X 10K series chip, the schem e of FIR filter hardw are circuit is advanced and 8-tap FIR filter is designed, including A H D L to fulfill FIR filter and generalizing 16-tap and32-tap F IR filters. T he circuit system design based on FPG A and its experim ental results show that the system w ith FPG A is m ore reasonable and reliable and fulfilldesign requirem ent. Keywords:FPG A ;F IR ;filter EEACC:1270F FPGA 在数字滤波器设计中的应用 邱 军1,向 农1,林 立1,2 (1. 武汉大学电气工程学院, 湖北 武汉 430072;2. 邵阳学院电气工程学院, 湖南 邵阳 422004)① 摘 要:介绍了 FIR 数字滤波器的硬件实现技术,结合 A ltera 公司的 FL E X 10K 系列芯片提出了实现 FIR 数字滤波器 的硬件电路的 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,设计出一种 8 阶 FIR 数字滤波器,并且推广到 16 阶及 32 阶,以及实现滤波器的 A H D L 语言。基于 FP G A 的电路系统设计及其仿真结果 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 明此系统合理、可靠且满足设计 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 。 关键词:F P G A ;F IR ;数字滤波器 中图分类号:T N 713 文献标识码:A 文章编号:1005-9490(2004)03-0490-03 F IR 数字滤波器广泛的应用于数字信号处理 系统,如通信,数字图像处理,声音信号处理等许 多方面。F PG A 是近年来迅速发展的大规模可编 程专用集成电路(A SIC ),在数字系统设计和控制 电路中越来越受到重视。随着 FP G A 的出现,为 F IR 数字滤波器的设计提供了新的途径。F PG A 结构较为简单,具有成本低,速度高,设计简便等 优点,可以实现专用集成电路。用 FPG A 实现的 数字滤波器内部电路结构透明,实时性好,硬件电 路体积小,工作效率高。本文着重讨论了设计 FIR 数字滤波器的方法,用 FPG A 实现 FIR 数字滤波 器时结构的设置,以 8 阶低通 FIR 数字滤波器的 实现为例,并且推广到 16 阶及 32 阶 F IR 数字滤 波器,说明了利用 A ltera 公司的 FL E X 10K 系列 芯片的设计过程。 1 对Altera公司 FLEX10K的说明 A ltera 公司的 F L EX 10K 是工业界第一个嵌 入式的 PLD ,具有高密度、低成本、低功率等优 点。器件的主要结构特点是除主要的逻辑阵列块 2004 年 9 月 Chinese Journal of E lectron D evices Sep. 2004 收稿日期:2004-01-16 作者简介:邱 军(1977-),男,硕士研究生,研究方向为电力电子与电力传动,qiujunren@ tom .com ; 向 农(19-),女,副教授,研究生导师,主要从事电力电子应用、计算机控制方面的研究。 (L A B )之外,首次采用了嵌入阵列块(E A B )。每 个阵列块包含 8 个逻辑单元队 L E 和一个局部互 连。1 个 L E 又由 4 输入查找表(L U T )、1 个可编 程寄存器和专用的载运和级联功能的信号通道组 成。在 FL EX 10K 器件中,把每一组逻辑单元(8 个 L E )组成一个逻辑阵列块(L A B ),所有的 L A B 排成行和列。在一行里还包含一个单一的 E A B。 多个 LA B 和多个 E A B 采用快速通道互相连接。 嵌入式阵列块(E A B )是 FL E X 10K 系列器件在结 构设计上的一个重要部件。它是输入端口和输出 端口都带有寄存器的一种灵活的 R A M 块,E A B 组成的规模和灵活性对比较多的内存是适宜的。 功能包括乘法器、向量的标准和误差矫正电路等。 在应用中,这些功能又能够联合完成数宇滤波器 和微控制器的功能。采用可编程的带有只读平台 的嵌入阵列块(E A B)在配置期间可执行逻辑功 能并建立一个大查找表(LU T ),在这个查找表里 用查找的结果执行组合逻辑函数,而不用计算它 们。显然用这种组合逻辑函数执行比通常在逻辑 里应用算法执行要快,而且专用 E A B 容易应用, 并且快速提供可能预测的延迟。 图 1 8阶数字滤波器的 F P G A 硬件内部结构 2 利用 FPGA实现 FIR数字滤波 器 所有线性 F IR 数字滤波器都有对称的系数, 因此设计者可以利用这种系数对称的性质,在进 行累加或乘法前通过增加阶数来实现。例如一个 8 阶的 F IR 数字滤波器利用一个 4 输入的矢量乘 法器来实现。这个 4 输入的矢量乘法器执行如下 作用: y = [h(1) × s(1) + h(2) × s(2) + h(3) × s(3) + h(4) × s(4)] 在这里 h(n)是滤波器的系数,s(n)是抽样。 在编译前滤波器系数必须调整,虽然设计者可以 通过重新设计装置来改变系数。因此用户可以根 据自己的选择来决定滤波器的功能。而且,对滤波 器增加更多的阶数并不显著改变给定位数的滤波 器速度。现在我们所设计的一般都是 parallelFIR 数字滤波器,因为其采用流水线加法器速度更快, 可达到 100(M SPS)以上。图 1 所示的结构图为实 现 y= [h(1)×s(1)…]的乘法器,其中两个 L ut 由 4 个 FL EX 16×1Luts组成。在三级流水线操作 中,取指、译码、执行操作可以独立的处理,这可以 使得指令执行功能完全重叠。在每个指令周期内, 三个不同的指令处于激活状态,每个指令处于不 同的阶段。经过改进 8 位+ 8 位加法运算只需要 2 个 4 位加法器。用 FPG A 实现时,查找表的规模 减少到 24+ 4 + 24+ 4 + 24+ 1 ,在 FPG A 中由于查找表 输入线少,往往将运算分解为更小的规模进行。对 于 A ltera 公司的 FL E X 10K 的设计,FIR 数字滤 波器需要 M A X + PL U SΠ6.0 版本或者更高版本 的支持。 图 2 8 位 FIR 数字滤波器硬件结构图 3 高速FIR数字滤波器设计的模块 设计 下面以一个 8 阶低通 FIR 数字滤波器为例, 说明硬件电路的设计方法和过程。我们这里采用 的是 8 个样点。考虑到 h(n)为偶对称,因此所需 要的加法器和乘法器可以减少一半。10 K 系列 F PG A 的逻辑运算功能是由若干个查表单元 (L U T )完成的,用它可以很方便地实现乘法器和 加法器的功能。由于是查表结构,l个单元的反应 时间并不随组合逻辑的复杂程度而增加,所以用 194第 3 期 邱 军,向 农等:F P G A 在数字滤波器设计中的应用 它实现的乘法器和加法器可在高速下运行。我们 把乘积放在寄存器中,使用操作数作为地址访问 寄存器,得到的输出数据就是乘法运算的结果。查 询表方式的乘法器的速度等于所使用的寄存器的 速度,小型乘法器使用这种技术则非常合适。例 如,在 256 byte 的查询表中实现 4 bit×4 bit乘法 器可以轻松地在不到 25 ns的时间内完成一次乘 法运算。图 2 为实现 8 bit数字滤波器的硬件结构 图。通过 8 bit F IR 数字滤波器结构图,我们可以 得到 16 bit F IR 数字滤波器结构图及 32 bit FIR 数字滤波器结构图。 4 硬件描述语言 利用 M A X + PL U SΠ, 8 阶数 字滤波器 的 .gdf文件如图 4 所示。 图 4 8 阶数字滤波器的 .gdf 应用 A H D L 描述语言设计出如下程序来实 现 8 阶数字滤波器: IN C L U D E “fir 08tp” C O N ST A N T IN PU T W ID T H = 8; C O N ST A N T C O F FIC IE C E N T P = 8; C O N ST A N T O U T P U T P = 17; C O N ST A N T IN T E R N A L P = 15; C O N ST A N T SY M = “sym ” S U B D E SIG N test tdf ( xin[w idth..1] :IN P U T ; clk :IN P U T ; aclr :IN P U T ; y[output..1] :O U T P U T ) V A R IA B L E fir:fir08 tp W IT H ( w idth= input w idth, rom p= cofficicent p, output p= output p, pipelined= “yes” internal p= internal p, sym = “sym ” ); B E G IN fir.xin[]= xin[]; fir.aclr= aclr; fir.clk = clk; y[]= fir.y[]; E N D 5 结束语 我们利用窗函数设计一个线性相位 F IR 低 通滤波器,满足:通带边界频率 ωp = 0.6×π,阻带 边界频率 ωs= 0.7×π,阻带衰减不小于50 dB ,通 带波纹不大于1 dB。所设计的线性相位 FIR 滤波 器的频率特性如图5。 图5 滤波器的频率特性 我们知道 FIR 滤波器的单位抽样响应是有 限长的,因而滤波器一定是稳定的,我们可以用快 速傅立叶变换(FF T )来实现过滤信号,使得其线 性相位更加完美。 经过功能仿真,时序仿真和测试后,完成了对 器件的开发。利用 A L T E R A 公司提供的 M A X + P LU SΠ开发软件,完成了各个于功能模块的设 计和顶层原理图的设计,各个层次模块的设计都 进行了编译和仿真验证,仿真结果正确后就可以 将设计下载到选用的芯片中。 参考文献: [1] 褚振勇,翁木云.FP G A 设计及应用[M ].西安:西安电子科 技大学出版社,2002. [2] 徐志军,徐光辉.C P L D /F P G A 的开发和应用[M ].北京:电 子工业出版社,2002. [ 3] 陈佩青.数字信号处理教程[M ].北京:清华大学出版社, 2001. [ 4 ] A ltera C orporation , A ltera C orporation M acroscopical F unction M odule E xponent[Z ].1996. [ 5 ] A ltera C orporation, F L E X 10K /10K A System D ata H andbook[Z ].1996. 294 电 子 器 件 27 卷
本文档为【FPGA在数字滤波器设计中的应用】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_096881
暂无简介~
格式:pdf
大小:240KB
软件:PDF阅读器
页数:3
分类:工学
上传时间:2011-10-06
浏览量:8