首页 基于单片机的多功能广告牌设计毕业论文

基于单片机的多功能广告牌设计毕业论文

举报
开通vip

基于单片机的多功能广告牌设计毕业论文 本科毕业设计(论文) 题目:基于单片机的多功能广告牌设计 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期: ...

基于单片机的多功能广告牌设计毕业论文
本科毕业设计(论文) 题目:基于单片机的多功能广告牌设计 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 注 意 事 项 1.设计(论文)的内容包括: 1)封面(按教务处制定的 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 封面格式制作) 2)原创性声明 3)中文摘要(300字左右)、关键词 4)外文摘要、关键词 5)目次页(附件不统一编入) 6)论文主体部分:引言(或绪论)、正文、结论 7)参考文献 8)致谢 9)附录(对论文支持必要时) 2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。 3.附件包括:任务书、开题 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 、外文译文、译文原文(复印件)。 4.文字、图表要求: 1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写 2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画 3)毕业论文须用A4单面打印,论文50页以上的双面打印 4)图表应绘制于无格子的页面上 5)软件工程类课题应有程序清单,并提供电子文档 5.装订顺序 1)设计(论文) 2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订 指导教师评阅书 指导教师评价: 一、撰写(设计)过程 1、学生在论文(设计)过程中的治学态度、工作精神 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、学生掌握专业知识、技能的扎实程度 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生综合运用所学知识和专业技能 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 和解决问题的能力 □ 优 □ 良 □ 中 □ 及格 □ 不及格 4、研究方法的科学性;技术线路的可行性;设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 的合理性 □ 优 □ 良 □ 中 □ 及格 □ 不及格 5、完成毕业论文(设计)期间的出勤情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 指导教师: (签名) 单位: (盖章) 年 月 日 评阅教师评阅书 评阅教师评价: 一、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 评阅教师: (签名) 单位: (盖章) 年 月 日 教研室(或答辩小组)及教学系意见 教研室(或答辩小组)评价: 一、答辩过程 1、毕业论文(设计)的基本要点和见解的叙述情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、对答辩问题的反应、理解、表达情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生答辩过程中的精神状态 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 评定成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 教研室主任(或答辩小组组长): (签名) 年 月 日 教学系意见: 系主任: (签名) 年 月 日 基于单片机的多功能广告牌设计 摘 要 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入,同时带动传统控制及LED显示技术日新月异的更新。大型LED点阵广告牌与传统的广告宣传紧密结合成为新的媒体宣传工具,广泛应用于展览中心、金融市场、医院、体育场馆、机场、码头、车站、街道、高速公路等公共场所的信息显示和广告宜传。本文提出一种基于单片机控制LED点阵显示屏实现的多功能广告牌设计方案。该广告牌具备万年历显示功能,同时能够接收PC机命令并滚动显示相应文字。此系统结构简单,操作方便。 本方案利用STC89C52单片机为主控芯片,LED点阵的行驱动电路由74HC138控制,列驱动电路由74HC595控制,LED显示屏是由8*8LED点阵模块组成。本系统内LED显示屏分辨率为16*64,即需要16块LED基本模块,可同时显示4个汉字;时钟芯片采用DS1302,可以实现万年历显示;按键模块采用分时复用,实现显示模式的选择和万年历时间的调整;通讯模块采用USB转串口通讯,实现上位机与下位机的数据传输。 关键字:多功能广告牌;LED点阵;万年历;STC89C52 The design of multi-function billboard based on MCU Abstract In recent years, with the rapid development of technology, SCM applications are constantly deepening, while driving the traditional control and LED display technology changing updates. Large LED dot matrix billboard closely with traditional advertising has become a new media tools, widely used in the exhibition center, financial markets, hospitals, stadiums, airports, terminals, railway stations, streets, highways and other public places, information display and advertising propaganda. This paper presents a microcontroller-based control achieve multifunction LED dot matrix display billboard design. The billboards have calendar display function, while the PC is able to receive commands and scrolling display the corresponding text. This system is simple, easy to operate. The scheme uses STC89C52 MCU control chip, LED dot matrix line drive circuit controlled by the 74HC138, 74HC595 controlled by a column driver circuit, LED display is formed by 8 * 8LED dot matrix module. Within the system LED display with a resolution of 16 * 64, which requires 16 LED basic module, can display four characters; clock chip DS1302, calendar display can be achieved; key module using time division multiplexing to achieve the display mode Select and calendar adjustment time; communication module uses USB to serial communication between host computer and lower computer data transmission. Keywords: Multi billboards; LED dot matrix; calendar; STC89C52 目 录 I中文摘要 ( ) II英文摘要 ( ) 11 绪论 ......( ) 11.1选题的背景及意义 ( ) 11.2国内外相关发展情况 ( ) 11.2.1 LED的发展状况 ( ) 21.2.2 广告牌的发展及控制 ( ) 31.3论文主要内容及论文结构 ( ) 31.3.1论文主要内容 ( ) 31.3.2论文结构 ( ) 41.4本章小结 ( ) 52 系统的总体设计方案 ( ) 52.1 系统设计目标 ( ) 52.2 系统方案 ( ) 62.3 单片机的选型 ( ) 62.4 时钟芯片的选型 ( ) 62.5 按键模块 ( ) 72.6 LED显示屏 ( ) 82.7 本章小结 ( ) 93 多功能广告牌系统的硬件设计 ( ) 93.1 单片机最小系统 ( ) 93.1.1 STC89C52单片机 ( ) 103.1.2 单片机最小系统设计 ( ) 113.2 按键模块 ( ) 123.3 LED显示模块 ( ) 123.3.1 8*8*LED基本模块 ( ) 123.3.2 行驱动电路设计 ( ) 143.3.3 列驱动电路设计 ( ) 153.4 时钟模块 ( ) 173.5 USB转串口通讯模块 ( ) 183.6 电源模块 ( ) 183.7 本章小结 ( ) 194 多功能广告牌系统的软件设计 ( ) 194.1 软件方案 ( ) 194.2 系统主程序流程图 ( ) 204.2 子程序介绍 ( ) 204.2.1 时钟模块子程序 ( ) 204.2.2 LED显示驱动子程序 ( ) 214.2.3 按键模块子程序 ( ) 224.2.4 USB转串口通讯模块子程序 ( ) 224.3 本章小结 ( ) 235 系统仿真和调试 ( ) 235.1 硬件仿真 ( ) 255.2 软硬件联调 ( ) 255.2.1系统搭建 ( ) 295.2.2 软件调试 ( ) 325.3 本章小结 ( ) 336 总结与展望 ( ) 336.1 全文总结 ( ) 336.2 本文的不足与展望 ( ) 336.2.1 本文的不足 ( ) 336.2.2 展望 ( ) 34参考文献 ( ) 36致 谢 ( ) 37毕业设计(论文)知识产权声明 ( ) 38毕业设计(论文)独创性声明 ( ) 39附录I:多功能广告牌系统硬件原理图 ( ) 41附录II:多功能广告牌系统PCB图 ( ) 42附录III 程序清单 ( ) 1 绪论 1.1选题的背景及意义 在现代化和信息化社会的高速发展过程中,LED大屏幕点阵显示已经渗透到各行各业的信息显示中。随着互联网的快速发展,数字化的多媒体内容已在信息传递中占据主流,新型的大屏幕显示设备将替代传统电视机成为人们享受信息和多媒体内容的中心。在各大商场、车站以及各类办事窗口等地方都装了LED点阵显示屏来发布一些通知或广告。随着信息产业的高速发展,LED点阵显示作为信息传播的一种重要手段,已成为一个飞速发展的新兴产业,市场空间巨大,发展前景广阔,并已广泛应用于各种需要进行信息宣传的公众场所。单片机以其功能强大、体积小、可靠性高、造价低和开发周期短等优点,成为自动化和各个测控领域中必不可少且广泛应用的器件,尤其在日常生活中也发挥越来越大的作用。 LED点阵设计主要应用于LED点阵显示屏,它是利用发光二极管点阵模块组成的平面式显示屏幕。由于它具有发光效率高、使用寿命长、节能、组态灵活、色彩丰富、显示方式变化多样以及无电离辐射等优点,在国内外得到了极为广泛的应用。LED的发展前景极为广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性、可靠性、全色化方向发展。 针对本系统应用于停车场、车间、小型商店和居民社区等小型场所,显示一些通知或小型广告以及万年历显示。因此,本文就基于单片机控制LED点阵显示屏系统展开研究工作。 1.2国内外相关发展情况 1.2.1 LED的发展状况 发光二极管简称为LED。它是半导体二极管的一种,可以把电能转化成光能;常简写为LED。发光二极管与普通二极管一样是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴和由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子和P区的空穴复合,产生自发辐射的荧光。不同的半导体材料中电子和空穴所处的能量状态不同。当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的是发红光、绿光或黄光的二极管。 后来LED显示发展为LED数码管,LED数码管由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,h来表示,可显示不同的数字。 而后LED大规模集成在一起就形成了LED点阵,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成。这种一体化封装的点阵LED模块,具有高亮度、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。LED点阵规模常见的有4×4、4×8、5×7、5×8、8×8、16×16等。 LED点阵根据像素颜色的数目可分为单色、双基色、三基色等。像素颜色不同,所显示的文字、图象等内容的颜色也不同。单色点阵只能显示固定色彩如红、绿、黄等单色,双基色和三基色点阵显示内容的颜色由像素内不同颜色发光二极管点亮组合方式决定,如红绿都亮时可显示黄色,如果按照脉冲方式控制二极管的点亮时间,则可实现256或更高级灰度显示,即可实现真彩色显示。 1.2.2 广告牌的发展及控制 户外LED大屏幕广告是21世纪广告业发展的趋势,是具有音视频功能的户内外广告展示设备,属国际领先的高科技产品。目前国际市场对全色屏的关注与日俱增,在处理技术和制作工艺方面作出许多努力,解决了一系列影响图象质量的问题,这里包括扫描变换、图象增强、噪声抑制、反伽马修正以及编码压缩、通讯以及与接口的问题等,取得重要成果。 我国的LED屏幕广告牌产业据不完全统计,至2008年底,年度销售总额在1亿元以上的企业有20多家,其销售总额达50亿元左右,占行业市场总额的70%以上。全国从事LED显示屏的各类企业有100余家。我国LED屏幕广告牌产业在规模发展的同时,产品技术推陈出新,一直保持比较先进的水平。90年代初即具备了成熟的16级灰度256色视频控制技术及无线遥控等国先进水平技术,近年在全彩色LED屏幕广告牌、256级灰度视频控制技术、集群无经线控制、多级群控技术等方面均有国内先进、达到国际水平的技术和产品出现。LED屏幕广告牌控制专用大规模集成电路也已由国内企业开发生产并得到应用。现在LED显示屏高端产品主要是全彩色显示大屏幕,在上海世博会开幕式上展示的全球最大户外全彩显示屏,受到了众人瞩目,规格大约是300m *30m;而处于 中端的LED显示屏主要是应用在银行、车站等发布信息的地方,屏幕大小大约是3m *3m,主要是双基色显示屏;而处于低端的显示屏主要就是条形显示屏,尺寸不大,单色显示,制作工艺和制作成本也不高,实现显示功能有限。 绝大多数LED显示屏都是由单片机控制的,但使用8位控制器有时远远不能满足控制要求,所以才出现DSP、FPGA、ARM、PLC等控制核心。现在部分LED点阵已经开始使用无线控制方式,并有专业的LED无线控制卡,它们有基于短信、GPRS、CDMA等不同形式,例如LED-728是驿唐公司2014年全新研制的一款无线LED信息发布一体卡,它是基于GPRS无线通讯的,如图1.1所示。与LED-328/LED-528一体卡相比,LED-728带载面积更大,能控制512*256大小的双色屏。该卡实现了GPRS无线通讯模块和LED控制模块的集成,性价比更高,可以大幅度降低LED远程信息发布项目的设备采购成本;LED-728性能更稳定,调试、安装更方便,是当前十分先进的GPRS无线LED信息发送设备。 图1.1 LED-728无线LED信息发布一体卡 1.3论文主要内容及论文结构 1.3.1论文主要内容 本次毕业设计主要是利用STC89系列单片机为主控模块,来控制LED点阵广告牌。要求该广告牌具备万年历显示功能,同时能够满足接受PC机命令显示相应文字,并可以实现点阵图像的滚动显示。本文的主要研究内容如下: (1)研究并实现下位机系统。下位机是单片机控制LED显示系统,它以STC89单片机为主控芯片,完成时钟检测、万年历显示和滚动显示文字功能。 (2)研究并实现LED显示屏上位机系统。利用Visual Basic 6.0开发上位机系统管理软件给用户提供良好的界面,方便进行人机交流,实现滚动显示文字及显示速度、方向等。 (3)完成串口通讯的设计,可以使上位机与下位机之间方便的通讯,实现接收上位机所发的命令。 (4)汉字库的建立。国家标准字库中的每一个字均由256点阵来表示。因此可以把每个点理解为一个像素,而把每一个字的字形理解为一幅图像。汉字的笔画就分布在16*16的像素网格里面,如果像素网格中有笔画通过就对应1,否则对应0,每一个网格均对应1或0,把对应1的网格连起来看,就是汉字。汉字就是这样通过字节表示其点阵存储在字库中的。 1.3.2论文结构 本论文共六章,具体的章节安排如下: 第1章 绪论介绍了本课题的研究背景和意义,对相关领域的研究情况进行了综述,分析了国内外LED点阵广告牌应用现状及前景。介绍了本文主要的研究内容以及本文的结构安排。 第2章 介绍了系统的总体设计方案,对硬件模块进行了选型。 第3章 介绍了LED广告牌的硬件设计,包括单片机STC89C52的介绍、LED广告牌驱动电路的设计、时钟模块、独立按键及串口通讯的硬件设计。 第4章 介绍了LED广告牌的软件设计,针对各硬件模块采用模块化编程的方法设计了相应的子程序。包括主程序、时钟模块子程序、按键模块子程序、LED显示模块子程序和USB转串口通讯模块五个模块。 第5章 介绍了该系统的硬件仿真及软硬件联调。 第6章 总结了本文的研究内容的实现情况,并提出了本文中的不足以及展望。 1.4本章小结 本章首先介绍了本课题的研究背景和意义,对相关领域的研究情况进行了综述,分析了国内外LED点阵广告牌发展现状及展望,并对本文的主要内容与论文结构安排做了说明。 2 系统的总体设计方案 为了对系统进行硬件搭建及后期程序仿真和调试,本章就系统设计目标、整体方案以及硬件模块选型进行了简单的介绍。 2.1 系统设计目标 本系统的设计方案利用STC89C52单片机为主控芯片,来控制LED点阵显示屏,使得该广告牌具备万年历显示功能,同时能够接收PC机命令并滚动显示相应文字。 本系统以PC机作为上位机,以STC89C521单片机作为下位机,下位机读取时钟模块寄存器里数据,通过LED点阵显示,按键模块可以改变显示状态和进行时间调整,同时也可以通过串口通信接收PC机的命名显示相应汉字。 本系统需要具有以下功能: (1)实时显示万年历,并且可以通过按键对时间进行调整。 (2)可以通过按键改变汉字滚动的方式。 (3)与上位机进行通讯。本系统可以通过串口通讯实现与上位机进行通讯,接收上位机发出的命令。并通过LED点阵显示相应文字。 2.2 系统方案 多功能广告牌的系统是以STC89C52单片机为核心,它的功能包括万年历显示、按键调整、广告文字显示、数据通讯等,其系统框图设计如图2.1所示。 图2.1 多功能广告牌的系统框图 本系统采用STC89C52单片机为主控芯片,LED点阵的行驱动电路由74HC138控制,列驱动电路由74HC595控制,LED显示屏的分辨率为16*64,可同时显示4个汉字;时钟芯片采用DS1302,可以实现万年历显示;按键模块采用分时复用实现显示状态的改变和万年历时间的调整;通讯模块采用USB转串口通讯模块,实现上位机与下位机的数据传输;电源模块采用直流+5V供给单片机、按键模块、复位电路、时钟模块和行列驱动器电路使用。 2.3 单片机的选型 STC89C52单片机是MCS-51系列单片机的派生产品。它的主要特性有:5V工作电压,8K字节Flash,512字节RAM,32位I/O口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,3个16位定时器/计数器,4个外部中断,全双工串行口。最高运作频率35MHz,6T/12T可选。它完全能够满足设计要求和需要,并且市场货源充足、价格便宜,便于以后本广告牌成品的推广。 2.4 时钟芯片的选型 目前市场上常用的时钟芯片有X1203和DS1302两种。(1)X1203是一个带时钟/日历和两个闹铃的实时时钟芯片。该芯片以秒、分、时、星期、日、月和年为单位跟踪时间。具有闰年校正功能,并能对小于31的月份自动进行调整;(2)DS1302是一种高性能,低功耗,带RAM的实时时钟芯片,它可以对年、月、星期、日、时、分、秒进行计时,且具有闰年补偿功能。采用三线串行数据接口与CPU进行同步通信,具有主电源/后备电源双电源引脚。 通过对以上两种时钟芯片进行比较,本文选取DS1302作为系统时钟芯片。它电路结构简单,易实现软件编程。 2.5 按键模块 按键模块按照键盘与CPU的连接形式可分为独立式按键和矩阵式按键,其各自特点如下: (1)独立式键盘 独立式键盘是各按键相互独立,每个按键占用一个I/O口,各个I/O口上的按键工作状态互不影响。独立式键盘电路比较简单,在按键数量较多时,I/0口有可能出现不够用,而且电路结构会很复杂,因此独立式很适用于按键数量较少的场合。 (2)矩阵式键盘 在键盘中按键数量较多时,为了减少I/0口的占用,通常将按键排列成矩阵形式。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口就可以构成4*4=16个按键,比直接用端口线连接键盘多出了一倍,而且线数越多,区别越明显,比如再多加一条线就可以构成20键的键盘。由此可见,在需要的键数比较多时,采用矩阵法来做键盘是合理的。矩阵式结构的键盘显然比直接法要复杂一些,识别也要复杂一些。 通过对以上两种键盘形式进行对比,结合本系统功能设计的需求,本文选用四个独立式键盘,分别用于控制切换各种状态、调整万年历时间。其功能的实现主要是对按键的扫描,体现在软件程序编写方面,根据不同的按键值,执行不同的操作,在具体场合应用中可以将按键的功能重新定义,只要在程序中重新编写就可以,比较方便。 2.6 LED显示屏 鉴于本系统应用在停车场、车间等小型场所,因此LED显示屏选用分辨率为16*64的点阵,可同时显示4个汉字。 市场上的LED点阵种类繁多。按点阵数可以分为4*4、8*8和16*16等规格的显示屏模块,最常用的是8*8的点阵模块。8*8LED点阵单块使用时,既可代替数码管显示数字,也可显示各种中西文字及符号.如图2.2所示。 图2.2 8*8点阵示意图 其中一个LED灯的直径为3.5mm,点阵外围边长为38mm。采用8*8的基本模块构成16*64的点阵,需要16块这样的点阵,形成如图2.3所示的效果图。总尺寸大小为79mm*304mm。 图2.3 16*64点阵效果图 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。  本系统LED显示屏采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 2.7 本章小结 本章主要介绍了系统总体设计方案,分别对单片机、时钟芯片、按键模块及LED显示屏进行了选型,为硬件电路搭建提供了依据。 3 多功能广告牌系统的硬件设计 本章节介绍了多功能广告牌系统的单片机最小系统、按键模块、LED显示模块、时钟模块、USB转串口通讯模块及电源模块的硬件电路。 3.1 单片机最小系统 3.1.1 STC89C52单片机 89C52系列的各单片机的生产厂商和型号的不同,导致其ROM、RAM、中断系统、外围功能模块以及处理器速度等方面有一定的不同,但是其基本结构相同,均包括算术逻辑单元ALU、片内RAM、I/0端口、定时器、中断系统等基本的功能单元。 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,8K字节Flash,512字节RAM, 32 位I/O 口线,看门狗定时器,内置4KB EEPROM,MAX810复位电路,3个16 位定时器/计数器,4个外部中断,全双工串行口。另外 STC89C52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35MHz,6T/12T可选。STC89C52单片机的引脚图如图3.1所示。 图3.1 STC89C52引脚图 它有40个引脚,包括8位的I/O口四个:P0、P1、P2和P3,共32个引脚;电源引脚VCC和GND,外接晶振引脚XTAL1和XTAL2,控制信号引脚:RST、ALE、PSEN和EA。复位电路通过上电复位和手动复位两种形式。外接晶振选择24MHz的晶振; 输入/输出端口:P0、Pl、P2和P3,每个P口都有八位,其中P0口是准双向口,作为输出口的时候,要先向该口写入1,P0口的内部不包含内部上拉电阻,因此在对P0口操作的时候要在其外部电路加入上拉电阻。P3口具有第二功能,具体功能详见表3.1。P2口可以用在访问外部程序存储器和16位地址的外部数据存储器时,P2口送出的是高8位地址,P0口提供低8位地址,P0口可分时提供8位数据总线; 控制信号引脚:RST、ALE、PSEN和EA。RST是单片机的复位引脚,外接硬件电路可以实现单片机的复位操作。ALE是地址锁存允许信号引脚,高电平有效,当单片机访问外部存储器时,ALE输出信号作为锁存低8位地址的控制信号。PSEN是程序存储允许输出信号端,主要应用在对片外存储器的操作方面。EA是外部程序存储器地址允许输入端/固化编程电压输入端,主要应用在访问外部ROM,在实际应用时,保持该引脚是高电平即可; 晶振连接引脚:XTAL1和XTAL2,通过这两个引脚在芯片外接晶振和两个电容,就构成了振荡电路; 电源引脚:VCC为电源端,接+5V电压源,GND是接地端,接电源地; 复位电路:单片机复位电路通常是上电复位电路和手动复位电路组合在一起使用。 表3.1 P3口特殊功能表 I/O/口引脚 说明 P3.0 RXD-串行数据接收端 P3.1 TXD-串行数据发送端 P3.2 -外部中断0请求端 P3.3 -外部中断1请求端 P3.4 T0-定时器/计数器0 P3.5 T1-定时器/计数器1 P3.6 -外部数据存储器写选通信号 P3.7 -外部数据存储器读选通信号 3.1.2 单片机最小系统设计 根据此单片机的引脚图、复位电路和晶振模块,组成单片机最小系统如图3.2所示。 图3.2 单片机最小系统 3.2 按键模块 按键采用独立式按键,分别控制切换各种状态、时间。按键接口P1.4-P1.7接四个按键,各个按键功能依次如下: (1)LEFT_K键:使LED显示屏中的内容向左移动; (2)RIGHT_K键:使LED显示屏显示的内容向右移动; (3)UP_K键:使LED显示屏的内容向上移动; (4)KEY4键:在显示状态和调整时间两种功能下进行切换。 若没有按键按下,继续循环检测按键是否按下。按键模块电路图如图4所示。 图3.3 按键模块 3.3 LED显示模块 3.3.1 8*8*LED基本模块 LED显示屏是由一个一个发光二极管组成的阵列,在物理连接上分共阴极连接和共阳极连接。单一发光二极管的正向导通电压是0.7V, LED显示屏基础模块的每一行的阴极连接在一起,每一列的阳极共同连接在一起。对于8*8的LED模块,保证基础模块字符显示正常同时导通的电压为5V左右,其中LED模块的结构原理图如图3.4所示。 图3.4 8*8LED结构图 当给某一列送高电平的时候,选中的是整列,而当给某一行送低电平的时候,改行即被选中,因此如果让某一点亮就需要行列交叉点是要被点亮的点就可以。在购买一块8X8规格的点阵模块时,为了检验引脚控制的行列问题,还有引脚应该接高电平还是低电平的问题,就可以将万用表量程的旋钮调到二极管测量的档,然后将红表笔接任意一个引脚,将黑表笔依次接到其他引脚,并观察模块中是否有极管点亮和第几行第几列点亮,检查时做好记录,如此就可以检验一个模块引脚的控制电平,和行列排列问题。 3.3.2 行驱动电路设计 由于单片机的接口有限,所以需要译码器扩展。其中最常用的行驱动芯片是 74HC 138芯片3-8译码器。选用74HC138译码器需要两片驱动一个16行的LED屏,74HC138译码器允许三个高有效的二进制地址输入,输出互相排斥的8个低有效位,两个使能输入端,可以控制74HC138的选通与截止,防止输出错误的编码,也可以用来扩展译码器,本设计就是利用它的使能端将两个74HC138扩展成16行驱动。 74HC 138有如下的特点: (1)8行多路输出功能; (2)3个二进制代码输入,译码成8路多路输出; (3)2个使能输入端,用来控制芯片工作或停止工作,或者用来扩展输出; (4)标准输出,中规模集成电路。 74HC 138是具有16引脚的集成芯片,它的封装方式有贴片式和直插式,其引脚图如图3.4所示。 图3.5 74HC138引脚图 本系统采用的74HC138作为LED点阵的行驱动扩展口,LED显示屏的大小是16X64,因此要用到两片74HC138,通过该芯片的使能端控制芯片输出16位,行驱动电路原理图如图3.5所示。单片机的P0.0-P0.3口连接74HC138译码器的LA-LD输入端,根据译码器的工作原理输出端依次选中显示屏的第一行至第十六行。单片机的P0.4口同时接至74HC138(1)和74HC138(2)的使能端,保证了行扫描的扫描方法的实现。 图3.6 行驱动电路原理图 3.3.3 列驱动电路设计 本系统列驱动电路选用74HC595芯片。74HC595是8位串行输入并行/串行输出移位寄存器,它包含一个存储寄存器,串行移位寄存器,并且高电平、低电平和高阻态三态输出,移位寄存器和存储寄存器是分时钟控制的。74HC595的工作原理是当脉冲信号作用时,将移入引脚的数据移入芯片内部的移位寄存器,当移满8位数据以后,第8位的数据就会出现在串行输出引脚上,再给一个脉冲信号,这一位数据就会被移出,同时又有新的数据移入。当数据储存信号有效时,芯片内部的移位寄存器就将8位数据锁存到8个数据输出引脚上,从而实现数据的并行输出。 74HC595就有如下的特点: (1)8位串行输入; (2)8位串行或者并行输出; (3)存储寄存器三态输出; (4)移位寄存器具有直接清零功能; (5)移出频率100MHz; (6)静电放电保护。 74HC595共有16个引脚,引脚结构图如图3.6所示。 图3.7 74HC595引脚图 Q0-Q7:数据并行输出引脚; Q7':数据串行输出端; DS:数据串行输入端; SH_ CP:移位寄存器时钟输出端; ST_CP:存储寄存器时钟输入端; MR:主复位端,低有效; OE:输出使能端,低有效; VCC:电源电压,+5V; GND:电源地,OV。 LED点阵一共有64列,每片74HC595可控制显示屏8列,因此需要8片74HC595。所有的74HC595采用串联方式将时钟控制信号连接在一起,上一片74HC595的Q7’引脚接下一片的数据输入端DS,这样当并行输出脉冲有效时所有列信号同时发出。每一个汉字由16行16列的点阵组成显示,本系统采用的是行扫描,所以横向取字模代码。每个汉字的代码在利用595进行控制时先由单片机的P0.5口串行输出8位汉字的第一列至第八列的数据,高位在前低位在后。单片机的P0.7口输出移位时钟,P0.5口每输入一位数据,P0.7口的电平就由低电平到高电平变化一次,595的移位寄存器里的内容就由高位向低一位移动一次,当第9位数据送给595的DS端时,595的Q7就将串行输出移位寄存器里的第1位数据送给下一片595的第一位,新进来的数据送给第8位。 当第1列至第64列的数据准备结束后,P0.6口产生一个上升沿脉冲送给595的ST_CP引脚,将级联的595芯片并行输出时钟引脚串联在一起同时由P0.6控制,这样当输出时钟到来的时候,每片595的列数据同时输出。列驱动电路如图3.7所示。 图3.8 列驱动电路原理图 3.4 时钟模块 DS1302 是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片,它可以对年、月、日、周、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线串行数据接口与CPU进行同步通信,具有主电源/后备电源双电源引脚。DS1302共8个引脚,有双列直插封装方式和方形封装方式。 图3.9 DS1302引脚图 DS1302的引脚结构图如图3.9所示。其中各个引脚的功能分别如下: Vcc1,Vcc2:电源供给引脚,Vcc1主电源,Vcc2备份电源; X1,X2:32.768KHz晶振引脚,为DS 1302提供工作所需的时序、计数脉冲; GND:地线; RST:复位引脚,是三线连接中的一线,对时钟芯片读写的时候必须保证该引脚是高电平; I/O:数据输入、输出引脚。当对DS1302进行读写的时候,三线中的一线,用来将数据写入或者读出,每次一位; SCLK:串行时钟输入引脚。为DS 1302提供工作时钟,SCLK控制上升沿和下降沿转换的先后次序的不同,可以实现I/O引脚时钟数据的读出和写入,是三线中的一线。 RST输入高电平将使所有数据传输都初始化,RST输入有两种功能,首先,它打干控制逻辑,这种逻辑控制地址/命令数据移入寄存器的脉冲。其次,RST信号提供了停止单字节或者多字节数据传送的方法。时钟周期是一系列下降沿紧跟着上升沿,对于数据输入,数据必须在时钟的上升沿期间有效,在时钟的下降沿输出数据位。如果RST输入低电平,所有数据传输都终止,I/0引脚呈现高阻态,数据传输的时序图如图3.9所示。在电压由0V升高的过程中,RST必须保持逻辑“0”,直到工作电压升高到2.0V,同时RST在由低电平到高电平的过程中,SCLK必须保持在逻辑“0”。 “写”命令字经过八个SCLK周期高低电平变化后被输入,在下八个SCLK周期的上升沿到来时写入数据的一个字节,数据是由低位0位开始输入,多余的SCLK周期会自动被忽略。经过8个SCLK时钟周期,写入一个字节“读”命令字,在下一个SCLK时钟周期到来的上升沿输出一位数据,执行8个周期。注意第一个数据位被发送是紧跟着命令字最后一个位写完的下降沿的时候。只要保持RST高电平,SCLK附加的时钟周期就会重复发送数据字。这种控制方法可以应用到突发模式持续的读、写数据,同时I/0脚的三种状态是根据SCLK每个上升沿而变化的,数据从低位开始输出,即0位。 图3.10 数据传输时序图 时钟模块电路图如图3.10所示。 图3.11 时钟模块 3.5 USB转串口通讯模块 USB转串口通讯模块的核心芯片是CH340,CH340是一个USB总线的转接芯片。CH340芯片内置了USB上拉电阻,UD+和UD-引脚应该直接连接到USB总线上。CH340芯片支持5V电源电压或者3.3V电源电压。其数据传输引脚包括:TXD引脚和RXD引脚。通过TXD和RXD两个引脚与单片机的P3.0和P3.1口,然后USB总线接口接在PC机的USB接口,就这样单片机可以实现在硬件接口上与计算机连接,再通过设置波特率,就可以实现两者之间的通信。本设计主要应用串口传送字符和汉字的字模编码,并且是结合上位机联合设计的。并且通过串口可以给STC系列的单片机下载程序,可以将编译好的程序生成的HEX文件加载到单片机内.串口通讯模块电路图如图3.12所示。 图3.12 USB转串口通讯模块 3.6 电源模块 本系统需要5V直流电源。直流电源采用交直流电源从电源插座输入,交流220V通过工频25:1的变压器降到交流9V,再由整流电路和滤波电路可得到稳定的5V直流电源,并且供单片机、按键、掉电保护、时钟芯片、驱动器模块使用。整流电路选用4个1N4007组成桥式不可控整流电路,将交流9V整流成8V直流,再经LM7805三端稳压管和滤波电路变成5V直流。电源模块电路图如图3.13所示。 图3.13 电源模块 3.7 本章小结 本章介绍了多功能广告牌的硬件设计,并系统的介绍了STC89C52单片机、按键模块、LED点阵的行列驱动芯片及原理图、时钟芯片和USB转串口通讯模块。并根据所选择的硬件搭建了多功能广告牌的实物模型,为下一步软件设计和调试提供了实验平台。完整的系统电路原理图见附录Ⅰ,PCB图见附录Ⅱ。 4 多功能广告牌系统的软件设计 硬件设计是多功能广告牌系统的躯体,那么软件就是多功能广告牌系统的灵魂。软件的的设计采用模块化编程,使用Keil uVision4的C51编译环境完成STC89C52的软件设计。 4.1 软件方案 软件编程采用模块化编程,模块化程序设计就是把一个复杂的系统设计分解为若干个功能子程序,每个子模块执行单一的功能,并且具有单输入单输出结构。在此系统中,软件总共包含以下五个模块:主程序模块、时钟模块、LED显示模块、按键模块、USB转串口通讯模块。软件功能结构图如图4.1所示。 图4.1 软件功能结构图 4.2 系统主程序流程图 系统实现的是LED显示屏的中文汉字,预先将汉字代码存放在单片机的程序存储器中,程序运行后的代码将以数组的形式存储在程序的初始化中。程序的编写主要体现的是模块化,将每个功能分别用独立的子函数编写,然后再调用主函数调用子程序,实现相应的功能,其中子函数包括按键扫描子函数,实时时钟读取子函数以及延时子函数。程序首先初始化所有宏定义和全局变量,以及存储在ROM里的数据,然后是DS1302的初始化,按DS1302的工作时序读取实时时钟,并送给LED显示屏显示。执行按键扫描程序,如果有键按下,则单片机的P0口产生一个低电平,并执行按键消抖程序,使系统的误差变小。按键功能依次为LEFT_K键使LED显示屏中的内容向左移动,RIGHT_K键使LED显示屏显示的内容向右移动,UP_K使LED显示屏的内容向上移动,KEY4键可以切换文字显示和万年历显示两种状态,若没有按键按下,若没有按键按下,继续循环检测按键是否按下。系统主程序流程图如图4.2所示。 图4.2 主程序框图 4.2 子程序介绍 4.2.1 时钟模块子程序 DS1302时钟程序是系统整体中的子函数,并且时钟函数也是模块化编程,该子函数具体包括时钟读字节函数、时钟写字节函数、读取一个字函数、写一个字函数、数值转换函数和延时函数。读取时钟是按照DS1302的时序图,对时钟经行读取的,并将读取的时间旧历做数值转换处理,转换成十进制数,调用对应的字模代码,并送给LED显示屏显示。时钟子程序如图4.3所示。 4.2.2 LED显示驱动子程序 显示子程序在进入中断后首先要对定时器重新赋初值,以保证显示屏刷新频率的稳定。其次,显示子程序查询当前点亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。为消除在切换行显示数据时产生的拖尾现象,子程序先要关闭显示屏,即消隐,等显示数据输入输出锁存器后,再输出新的行号,重新打开显示。显示子程序流程图如图4.4所示。 图4.3 时钟子程序 图4.4 显示子程序 4.2.3 按键模块子程序 执行主函数时不断的扫描键盘,如果有键按下,程序就转去执行判断键值并执行相应的程序。KEY4作为功能转化按键,可以在文字显示状态和万年历显示之间进行切换。在两种不同状态下,当K1-K4键按下时,变量m、n的值被分别赋予1-4,这样在执行具体的按键值时全局变量的变化就实时查询,可以中断当前的显示状态,执行正在按键的状态显示,两种状态下的按键模块子程序的流程图分别如图4.5和4.6所示。 图4.5 文字显示按键子程序 图4.6 万年历显示按键子程序 4.2.4 USB转串口通讯模块子程序 进入中断后,对CH340内各个参数初始化,再通过设置波特率,检测通讯端口是否打开,若打开就可以实现两者之间的通信,反之打开通讯端口后,再进行数据传输。USB转串口通讯模块流程图如图4.7所示。 图4.7 USB转串口通讯模块子程序 4.3 本章小结 本章系统的介绍了多功能广告牌系统的软件设计,对软件的各子程序根据软件流程图给出了详细的解释。多功能广告牌系统的软件设计采用模块化编程,这样条例清晰、易于管理、便于调试。完整程序见附录III。 5 系统仿真和调试 前面的章节分别介绍了多功能广告牌系统的选型、硬件设计、软件设计。本章主要介绍该系统的硬件仿真及软硬件联调。 5.1 硬件仿真 Proteus是一种电路分析与实物仿真及印制电路板设计软件,它可以仿真、分析各种模拟电路与集成电路,而且器件库还在不断的更新中,软件提供了大量模拟与数字元器件及外部设备,各种虚拟仪器,特别是它具有对单片机及其外围电路组成的综合系统的交互仿真功能,使得设计、仿真、编程、调试和测试于一体,节省时间。 Proteus主要由ISIS和ARES两部分组成,ISIS的主要功能是原理图设计及与电路原理图的交互仿真,在ISIS环境下绘制的原理图可以直接用来仿真,而且绘制原理图的操作也比较简单。ISIS的绘制原理图的界面如图5.1所示,图5.2就是电子元器件库界面。在原理图的绘制界面上有文件工具栏,视图工具栏,编辑工具栏和设计工具栏。文件工具栏包括新建、打开、保存等Windows常用工具;视图工具栏就包括放大、缩小、选定内容放大等视图工具;编辑工具栏是对原理图实现编辑,包括撤销、向前、剪切、旋转等编辑工具;设计工具栏包括查找元器件、自动捕捉画线、新建sheet等设计工具。 图5.1 ISIS绘图界面 图5.2 电子元器件库 本系统是利用Proteus软件进行仿真,将Protel99SE中绘制好的原理图绘制在ISIS环境中。具体步骤如下: (1) 在元器件库中寻找单片机、电容、电阻等所有需要用到器件,由于在Proteus没有STC89C52,只能用AT89C52代替,选好的元器件如图5.3所示; 图5.3 选好的元器件 (2) 将所有的器件分部排列好位置后,然后用导线将它们连接,连接完后检查是否有错误,若有错误继续修改,若无则进行下一步; (3) 检查原理图无误后,双击AT89C52,会弹出如图5.4所示的对话框,点击Program File,添加已生成的Hex文件,点击OK后,返回到仿真页面,在页面的左下角单击Play,系统就开始进行仿真了。在ISIS仿真环境中绘制的仿真图如图5.5所示。 图5.4 AT89C52编辑器 图5.5 Proteus仿真图 5.2 软硬件联调 5.2.1系统搭建 对于系统的搭建,我选择了先规划整体系统布局后模块焊接的设计模式。 首先是STC89C52单片机最小系统的设计,其中包含振荡单路,复位电路,以及P0口的上拉电阻。最小系统设计完成后,单片机就可以正常工作了。 然后是搭建实时时钟电路,实时时钟芯片DS1302需要外接一个振荡电路,以确保芯片能够正常运行,除了正常+5V供电外,还可以为其外接一个+3.5V备用电源,以保证DS1302在断电时还能正常运行。 最后是按键模块和电源模块的设计。按键模块采用4个独立按键,分别控制LEd点阵显示滚动状态;电源模块采用变压器经过整流、滤波和稳压得到稳定+5V直流电源。 这样,整个电路系统就完成了,最终的实物图如图5.4所示。 图5.6 实物图 市场上的LED点阵模块已经非常成熟,其中包括了行列驱动电路,只需接几根线就可以使用,非常方便,因此我选用了市场上已有的16*64LED点阵模块。LED 点阵的背部如图5.5所示。 图5.7 LED点阵的背部 有三个接口,分别是数据输入口、电源接口、数据输出口。数据输入口和数据输出口的定义完全相同,其定义如下表所示。其中加粗的信号为用到的信号,其它信号无需理会。 表5.1 接口信号分布 1 GND 2 LA 3 GND 4 LB 5 GND 6 LC 7 EN 8 LD 9 DATA 10 NC 11 NC 12 NC 13 GND 14 LT 15 GND 16 SK 将单片机的地和LED屏的其中一个地连接起来(共地)。电源输入口输入电压为5VDC。LED屏采用动态扫描的方式显示,行驱动由单片机控制译码器74HC138,译码器控制三极管,再通过三极管驱动并联在一起的LED发光管的一端,列驱动由级联再一起的74HC595控制LED发光管亮灭。该方法能驱动较多的LED,控制方式较灵活,而且节省单片机的资源。LED显示模块如图5所示。 LED 屏的分辨率为64*16,每行64个像素,共16行。LED屏以动态扫描方式显示,每次只显示一行。LA、LB、LC、LD用来控制选中其中的某一行,行选择信号有效的前提是EN引脚必须为低电平。其关系如下表所示。 表5.2 LED引脚与选中的行的关系 LA LB LC LD 选中的行 0 0 0 0 第0行 0 0 0 1 第1行 0 0 1 0 第2行 .......... 1 1 1 1 第15行 列信号由8片74HC595控制。每片控制8列,共计八八六十四列。列信号通过DATA引脚和SK引脚以串行方式移入74HC595移位寄存器中,通过控制LT的电平来同步74HC595移位寄存器和其引脚的状态。 硬件系统设计完成如果没有软件的配合,也就无异于只有肉体,没有灵魂。而且个个元件相互独立,没有任何的联系和通信,只有用软件将它们有机的整合起来,系统的能力才能得以发挥。我选用了USB转串口通讯模块,可以通过它将HEX文档通过下载器下载到单片机上。具体步骤如下: (1) keil uVision4编译好的程序在检查无误后,点击窗口左上方的按钮图标,就会弹出如图5.9所示的对话框。然后勾选Output中的Create HEX Fi,再次点击编译,就可以生成HEX文件了。 图5.8 生成HEX文件 (2) USB转串口通讯模块的核心芯片是CH340T,USB转串口控制器,完全遵从USB1.1 协议 离婚协议模板下载合伙人协议 下载渠道分销协议免费下载敬业协议下载授课协议下载 ,支持到RS-232接口的转换,具有支持自动握手模式,支持远程唤醒和电源管理,内建USB收发器等功能。其实物图如下图5.10所示。将此模块USB接口接在PC机上,然后从“5V”、“GND”、“TX”和“RX”四个端口接出四根线分别接在单片机的“VCC”、“GND”、“RXD”和“TXD”四个管脚处。 (3) 最后利用上位机软件STC-ISP-V483,其具体操作界面如图5.11所示。选择好单片机型号“STC89C52RC”,打开生成好的HEX文件,选择好串口,设置好波特率,最后点击下载就可将HEX文档通过下载器下载到单片机上。 图5.9 下载器连接 图5.10 STC-ISP-V483操作界面 5.2.2 软件调试 (1)实现滚动显示 滚动显示状态是根据按键判断的,LEFT_KEY按下实现左移,RIGHT_KEY按下实现右移,UP_KEY按下实现上移。显示状态如图5.12所示。 图5.11 文字显示状态 (2)万年历仿真 实物中未能完成万年历的显示,因此做了万年历的仿真。系统启动后,首先显示“西安工业大学电信学院 welcome”,然后判断按键模块是否有按键按下,若是LEFT_K、RIGHT_K和UP_K三个按键按下则执行相应文字显示状态,若是KEY4按下切换到万年历状态,循环显示年月日时分秒星期信息。进入万年历状态后可以通过按键调节年月日时分秒星期信息。按设置键即可进入设置状态。在设置状态可以设置年月日时分秒星期等信息,通过加一和减一键,对信息的值进行修改。具体仿真效果图如图5.13所示, 图5.12 万年历仿真效果图 5.3 本章小结 本章在完成软硬件设计基础上,进行了系统仿真、硬件搭建和软件调试等实验,验证了多功能广告牌软硬件设计的正确性和可行性。 6 总结与展望 6.1 全文总结 本次毕业设计做的是一个LED点阵广告牌,该系统主要是利用STC89C52单片机为主控模块,来控制16*64的LED点阵广告牌。该广告牌具备万年历显示功能,同时能够接收PC机命令并滚动显示相应文字。本论文主要完成了以下的工作 (1)了解了相关领域的发展情况,分析了国内外LED点阵的发展现状并对未来的展望。 (2)选择了单片机、时钟芯片、点阵模块等器件,完成了多功能广告牌的硬件搭建,并对其进行基本的调试。 (3)将流程图转换为程序代码,针对各硬件模块采用模块化编程的方法设计了相应的子程序。 (4)通过仿真和调试实现了多功能广告牌软系统所要求的功能,证明了系统的软硬件设计的正确性与合理性。 6.2 本文的不足与展望 6.2.1 本文的不足 本文有些功能未能实现,还需进一步改善和研究。 (1)LED点阵功耗比较大,导致单片机和LED点阵不能同时共用+5V直流电源,可以设计一款低功耗的显示屏; (2)选择的单片机内存过小,以致显示状态不能完全显示; (3)未完成上位机系统设计,以及PC机与单片机之间串口通讯。 6.2.2 展望 针对本系统应用于停车场、车间、小型商店和居民社区等小型场所,该系统具有较大的市场前景,由于本人时间和精力有限,在后期扩展上可以添加以下功能,以致产品具有更广阔的市场适应性。 (1)完成上位机系统设计和PC机与单片机的串口通讯,利用VB软件设计一个友好的人机交互界面; (2)加上温度检测装置和声光报警电路,在LED显示屏上显示温度值; (3)添加上无线控制模块,使得产品控制方式多样化。 参考文献 [1] 张飞碧,陈宏庆.LED大屏幕显示技术.演艺设备与技术,2007,4:36-39 [2] 梁勇,马兴平.单片机实现的LED点阵图文显示系统设计.中国科技信息,2009, 9:99-100 [3] N. Aizar Abdul Karim, P. A. Aswatha Narayana, K. N. Seethearamu. Thermal analysis of LED package.Microelectronics International,2006,23(1):19-25 [4] 赵海兰,毛玉良等.实时时钟电路的原理及应用电子元器件应用,2004, 1(6):20-23 [5] 卢庆林.数字动态扫描显示电路的实现.电子技术,2006,10:68-72 [6] Zhang mingbo.Desigan of matrix LED display system base in MCU.Embedded System&SOC,2007,2(2):85~86 [7] 远飞.基于Proteus的LED滚屏设计与仿真.电子元器件应用,2009, 11(6):38-40 [8] 彭伟.单片机C语言程序设计实训100例.北京:电子科技出版社,2009 [9] 周润景,张丽娜.基于PROTEUS的电路及单片机系统设计与仿真.北京:北京航空航天大学出版社,2006 [10] 张晓芳,崔帅锋.LED显示屏的无线数据通信方法研究.现代显示,2009,6:53-56 [11] 马忠梅.单片机的C语言应用程序设计[M].北京:北京航空航天大学出版社,2006 [12] 葛超,张景春,孙艳彬.基于ARM嵌入式系统的LED点阵屏设计.液晶与显示,2010,25(5):743~746  [13] 张堔,耿标.16x16点阵LED的设计.高科技产品研发,2010,(8):64 [14] Yan Shi. Digital Electronic Technology[M].Beijing: Higher Education Press,2006 [15] 花海安.点阵LED显示屏的设计与实践.江淮水利科技,2009,(3):20~21 [16] 郑刚,李宇成.LED大屏幕显示系统的设计.北方工业大学学报,2001,13(3):32-35 [17] 姜志海,赵艳雷.单片机的C语言程序设计与应用.北京:电子科技出版社,2008 [18] Gu Deying, Luo Yunlin,Ma Shuhua. Computer Control Technology[M]. Beijing: Beijing University of Posts and Telecommunications Press,2007 [19] Peng Wei. SCM typical system design example Jingjiang [M].Beijing: Electronic Industry Press,2006 [20] 左防,李成泉,胡仁喜.Protel应用教程.北京:清华大学出版社,2008:1-3 [21] 靳桅.基于51系列单片机的LED显示屏开发技术.北京:北京航空航天出版社 [22] 诸昌钤.LED显示屏系统原理及工程技术. 成都:电子科技大学出版社,2000 [23] 梁伟. 嵌入式LED全彩屏控制系统. 硕士论文:西安电子科技大学 [24] 吴金戌,沈庆阳,郭庭吉.8051单片机实践与应用[M].北京:清华大学出版社,2006.15. [25] 石长华,周杰.基于Proteus的单片机汉字点阵显示设计与仿真[J].景德镇高专学报,2007,22(4):2-3. 致 谢 到此我的毕业论文基本完成了,我的大学生活也将就此画上句号。 首先感谢我的导师任晶鼎,本论文是在任老师的悉心指导下完成的,无论是从初期课程设计的的开题,中期软硬件设计和调试,还是后期的论文撰写都给予了耐心的指导。在毕业设计这段时间里,任老师认真的工作态度,宽厚的为人处世态度,都给我留下了难以磨灭的印象。在此谨向老师致以崇高的敬意和衷心的感谢! 感谢四年来,西安工业大学所有给予我知识的老师们,感谢你们的谆谆教诲。 感谢四年来,陪伴我走过一起走过春夏秋冬的舍友们。和你们在一起的时光将是我的人生中无法抹去的回忆。毕业之际,祝你们人生的道路一路顺风! 最后,特别感谢我的家人多年来对我求学之路无私的关怀和默默的支持与鼓励,感谢所有帮助过我的亲朋好友。 毕业设计(论文)知识产权声明 本人完全了解西安工业大学有关保护知识产权的规定,即:本科学生在校攻读学士学位期间毕业设计(论文)工作的知识产权属于西安工业大学。本人保证毕业离校后,使用毕业设计(论文)工作成果或用毕业设计(论文)工作成果发表论文时署名单位仍然为西安工业大学。学校有权保留送交的毕业设计(论文)的原文或复印件,允许毕业设计(论文)被查阅和借阅;学校可以公布毕业设计(论文)的全部或部分内容,可以采用影印、缩印或其他复制手段保存毕业设计(论文)。 (保密的毕业设计(论文)在解密后应遵守此规定) 毕业设计(论文)作者签名: 指导教师签名: 日期: 毕业设计(论文)独创性声明 秉承学校严谨的学风与优良的科学道德,本人声明所呈交的毕业设计(论文)是我个人在导师指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别加以标注和致谢的地方外,毕业设计(论文)中不包含其他人已经发表或撰写过的成果,不包含他人已申请学位或其他用途使用过的成果。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确的说明并表示了致谢。 毕业设计(论文)与资料若有不实之处,本人承担一切相关责任。 毕业设计(论文)作者签名: 指导教师签名: 日期 附录I:多功能广告牌系统硬件原理图 附录II:多功能广告牌系统PCB图 附录III 程序清单 /********************************************************************/ #include“89C52.c” #include“DS1302.c” #include“main.h” #include“LedArray.h” #include “ZiMu.h” #include “anjian.h” #include“chuankoutongxun.c” #define uchar unsigned char /*******************************端口定义*****************************/ #define LED_DATA P0 sbit KEY1=P1^4; sbit KEY2=P1^5; sbit KEY3=P1^6; sbit KEY4=P1^7; sbit LA=P0^0; sbit LB=P0^1; sbit LC=P0^2; sbit LD=P0^3; sbit EN=P0^4; sbit R1=P0^5; sbit LT=P0^6; sbit SK=P0^7; sbit T_CLK=P3^7; sbit T_I/O=P3^5; sbit T_RST=P3^6; /*****************************函数声明*******************************/ void LA_Init(void);//点阵屏(LedArray)引脚初始化 void LA_ByteOut(U8 Byte);//单个字节输出 void LA_Disp(void);//将显示缓冲区的内容送LED屏显示 void LA_ByteLoad(MCU_U LedCnt,const U8 *p);//将一个8*16点阵(ASCII字符字模)导入显示缓冲区 void LA_WordLoad(MCU_U LedCnt,const U8 *p);//将一个16*16点阵(汉子字模)导入显示缓冲区 void LA_ShiftLeftDis(MCU_U times);//左移屏times像素,移动速度有ShiftLeftSpeed控制 void LA_ShiftRightDis(MCU_U times);//左移屏times像素,移动速度有ShiftLeftSpeed控制 void LA_ShiftUpDis(MCU_U Dir);//文字向上流动 void write_byte(); void read_byte(); void DS1302_init(void); void data0_convert(unsigned char dat); void data1_convert(unsigned char dat); void test1(void);//向左流动显示“西安工业大学电信学院” void test2(void);//向右流动显示“welcome” void test3(void);//向上流动显示“西安工大” void delay_ms()//延时 void serial_timer1_init() ; void serial_ISR() interrupt 4 ; void USBtongxun(); /*******************************主程序*******************************/ void delay_ms(unsigned int t) { unsigned int a,b; for(a=0;a>7); SK(1); Byte<<=1; SK(0); } } void LA_Disp(void) { MCU_U cnt1; U32 cnt2; U32 cnt; for(cnt1=0;cnt1<16;cnt1++) { for(cnt2=0;cnt2>7)); DisBuf[cnt1][LedNO+3]<<=1; } for(cnt1=0;cnt10;cnt2--)//显示缓冲区总共(LedNO+2)*8列 DisBuf[cnt1][cnt2] = ((DisBuf[cnt1][cnt2]>>1) | (DisBuf[cnt1][cnt2-1]<<7)); DisBuf[cnt1][0]>>=1; } for(cnt1=0;cnt10;i--) { sda=(bit)(dat&0x01); scl=0; scl=1; dat=dat>>1; } } /*--对1302进行读一个字节的函数--*/ void read_byte() { unsigned char dat,i; for(i=8;i>0;i--) { dat=dat>>1; scl=1; scl=0; if(sda==1) dat=(dat|0x80); } return dat; } /* 1302的初始化函数 */ void DS1302_init(void) { T_CLK=0; T_I/O=0; T_RST=1; } /*将读到的1302中的BCD数据取低四位转换成8位二进制数据,并转换为对应的ASCII码*/ unsigned char data0_convert(unsigned char dat) { unsigned char data1; data1=dat&0x0f; //换算为时间值的个位值 data1=data1+48; //转换为对应的ASCII码 ,因为0对应ASCII码的48,以此类推 return data1; } /*将读到的1302中的BCD数据取高四位转换成8位二进制数据,并转换为对应的ASCII码*/ unsigned char data1_convert(unsigned char dat) { unsigned char data1; data1=dat>>4; //将高四位移到低四位,换算为时间值的十位值 data1=data1+48; //转换为对应的ASCII码 return data1; } unsigned char d; unsigned char sec_l,sec_h,min_l,min_h,hour_l,hour_h; //分别用于存储BCD码格式的的低四位和高四位 unsigned char day_l,day_h,month_l,month_h; //分别用于存储BCD码格式的的低四位和高四位 unsigned char week,year_l,year_h; //分别用于存储BCD码格式的的低四位和高四位 write_byte(0xbe); //写多字节数据连续写入命令到时钟突发寄存器 for(d=0;d<8;d++) { write_byte(write_dat[d]); //依次将秒分时日月星期年和保护寄存器的初值一次性顺序写入/ } while(1) { write_byte(0xbf); //写多字节数据连续读出命令到时钟突发寄存器 for(d=0;d<7;d++) read_dat[d]=read_byte();//读出秒分时日月星期年寄存器的当前值 sec_l=data0_convert(read_dat[0]); //进行相应时间数据的转换 sec_h=data1_convert(read_dat[0]); min_l=data0_convert(read_dat[1]); min_h=data1_convert(read_dat[1]); hour_l=data0_convert(read_dat[2]); hour_h=data1_convert(read_dat[2]); day_l=data0_convert(read_dat[3]); day_h=data1_convert(read_dat[3]); month_l=data0_convert(read_dat[4]); month_h=data1_convert(read_dat[4]); week=data0_convert(read_dat[5]); year_l=data0_convert(read_dat[6]); year_h=data1_convert(read_dat[6]); LA_ByteLoad(13,year_h); LA_ByteLoad(12,year_l); LA_ByteLoad(11,month_h); LA_ByteLoad(10,month_l); LA_ByteLoad(9,day_h); LA_ByteLoad(8,day_l); LA_ByteLoad(7,week); LA_WordLoad(6,hour_h); LA_WordLoad(5,hour_l); LA_WordLoad(4,min_h); LA_WordLoad(3,min_l); LA_WordLoad(2,sec_h); LA_WordLoad(1,sec_l); } } /******************************按键子程序****************************/ int m; KEY1= 0x1e; KEY2=0x1d; KEY3=0x1b; KEY4=0x17; switch(P1&m) { case m=1:test1(); break; case m=2:test2();break; case m=3:test3();break; case m=4:void DS1302();break; } int n; KEY1= 0x1e; KEY2=0x1d; KEY3=0x1b; KEY4=0x17; switch(P1&n) { case n=1:test1(); break; case n=2:test2();break; case n=3:test3();break; case n=4: void LA_Disp();break; } /*******************************串口通讯****************************/ #include“chuankoutongxun.c” sbit sent_over; //定义一个本次字符是否已经发送完毕的标志位 sbit rec_over; //定义一个本次字符是否已经接收完毕的标志位 void serial_timer1_init() //串口及定时器1的初始化函数 { SCON=0x50; //串口工作方式1,既接收也发送数据 PCON=0x00; //不倍增 ES=1; //开串口中断 EA=1; //开总中断 TMOD=0x20; //定时器工作方式2,8位自动重装 TH1=0xfd; //初值为0xfd 波特率为9600 TL1=0xfd; TR1=1; //开定时器1 } void serial_ISR() interrupt 4 //串口中断服务例程,每发送完一个字符 { //就会中断一次 if(TI==1) { TI=0; //TI=0,为下一次发送字符数据作准备 sent_over=1; //本次字符已经发送完毕,标志位置1 //使下一次发送字符能够进行 } else { RI=0; dis_num=SBUF; rec_over=1; } } void USBtongxun() { serial_timer1_init(); sent_over=1; //置为1,使第一次发送字符能够进行 while(1) { static unsigned char i=0; //定义一个静态局部变量,便于在data1中取出字符 if(rec_over==1) //如果为1,说明已经接收完毕一个新的数据,进行接收反馈 { if(sent_over==1) //如果为1,说明本次字符已经发送完毕,可以进行 { //下个字符发送了 SBUF=data1[i]; //发送字符 sent_over=0; //清0,等字符发送完毕,在中断里再重新置1 if(data1[i]!='\0')8 //如果整个字符数组还没发送完,就继续发送 i++; else //如果整个字符数组发送完了,准备下一个数据的接收 { i=0; rec_over=0; } } } } } /*********************************字库******************************/ #define _ZIMU_H_ code U8 ZiMu1[][32]={ /*-- 文字: 西 --*;0*/ {0x00,0x00,0xFF,0xFE,0x04,0x40,0x04,0x40,0x04,0x40,0x3F,0xF8,0x24,0x48,0x24,0x48, 0x24,0x48,0x24,0x48,0x28,0x38,0x30,0x08,0x20,0x08,0x20,0x08,0x3F,0xF8,0x20,0x08}, /*-- 文字: 安 --*;1*/ {0x02,0x00,0x01,0x00,0x3F,0xFC,0x20,0x04,0x42,0x08,0x02,0x00,0x02,0x00,0xFF,0xFE, 0x04,0x20,0x08,0x20,0x18,0x40,0x06,0x40,0x01,0x80,0x02,0x60,0x0C,0x10,0x70,0x08}, /*-- 文字: 工 --*;2*/ {0x00,0x00,0x00,0x00,0x7F,0xFC,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00, 0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0xFF,0xFE,0x00,0x00,0x00,0x00 }, /*-- 文字: 业 --*;3*/ {0x04,0x40,0x04,0x40,0x04,0x40,0x04,0x40,0x44,0x44,0x24,0x44,0x24,0x48,0x14,0x48, 0x14,0x50,0x14,0x60,0x04,0x40,0x04,0x40,0x04,0x40,0x04,0x40,0xFF,0xFE,0x00,0x00}, /*-- 文字: 大 --*;4*/ {0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0xFF,0xFE,0x01,0x00,0x01,0x00, 0x02,0x80,0x02,0x80,0x04,0x40,0x04,0x40,0x08,0x20,0x10,0x10,0x20,0x08,0xC0,0x06}, /*-- 文字: 学 --*;5*/ {0x22,0x08,0x11,0x08,0x11,0x10,0x00,0x20,0x7F,0xFE,0x40,0x02,0x80,0x04,0x1F,0xE0, 0x00,0x40,0x01,0x80,0xFF,0xFE,0x01,0x00,0x01,0x00,0x01,0x00,0x05,0x00,0x02,0x00 }, /*-- 文字: 电 --*;6*/ {0x01,0x00,0x01,0x00,0x01,0x00,0x3F,0xF8,0x21,0x08,0x21,0x08,0x21,0x08,0x3F,0xF8, 0x21,0x08,0x21,0x08,0x21,0x08,0x3F,0xF8,0x21,0x0A,0x01,0x02,0x01,0x02,0x00,0xFE}, /*-- 文字: 信 --*;7*/ {0x08,0x40,0x08,0x20,0x0B,0xFE,0x10,0x00,0x10,0x00,0x31,0xFC,0x30,0x00,0x50,0x00, 0x91,0xFC,0x10,0x00,0x10,0x00,0x11,0xFC,0x11,0x04,0x11,0x04,0x11,0xFC,0x11,0x04}, /*-- 文字: 学 --*;8*/ {0x22,0x08,0x11,0x08,0x11,0x10,0x00,0x20,0x7F,0xFE,0x40,0x02,0x80,0x04,0x1F,0xE0, 0x00,0x40,0x01,0x80,0xFF,0xFE,0x01,0x00,0x01,0x00,0x01,0x00,0x05,0x00,0x02,0x00}, /*-- 文字: 院 --*;9*/ {0x00,0x40,0x78,0x20,0x4B,0xFE,0x52,0x02,0x54,0x04,0x61,0xF8,0x50,0x00,0x48,0x00, 0x4B,0xFE,0x48,0x90,0x68,0x90,0x50,0x90,0x41,0x12,0x41,0x12,0x42,0x0E,0x44,0x00} , }; code U8 ZiMu2[][16]={ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xD7,0x92,0x92,0xAA,0xAA,0x44,0x44,0x00,0x00},/*"w",0*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3C,0x42,0x7E,0x40,0x40,0x42,0x3C,0x00,0x00},/*"e",1*/ {0x00,0x00,0x00,0x70,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x7C,0x00,0x00},/*"l",2*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x1C,0x22,0x40,0x40,0x40,0x22,0x1C,0x00,0x00},/*"c",3*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x42,0x42,0x3C,0x00,0x00},/*"o",4*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFE,0x49,0x49,0x49,0x49,0x49,0xED,0x00,0x00},/*"m",5*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x3C,0x42,0x7E,0x40,0x40,0x42,0x3C,0x00,0x00},/*"e",6*/ {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00} /*" ",7*/ }; uchar const code NUM[][16]={ {0xFF,0xFF,0xFF,0xE7,0xDB,0xBD,0xBD,0xBD,0xBD,0xBD,0xBD,0xBD,0xDB,0xE7,0xFF,0xFF},/*"0",0*/ {0xFF,0xFF,0xFF,0xEF,0x8F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0x83,0xFF,0xFF},/*"1",1*/ {0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xBD,0xFB,0xFB,0xF7,0xEF,0xDF,0xBD,0x81,0xFF,0xFF},/*"2",2*/ {0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xFB,0xE7,0xFB,0xFD,0xFD,0xBD,0xBB,0xC7,0xFF,0xFF},/*"3",3*/ {0xFF,0xFF,0xFF,0xFB,0xF3,0xEB,0xDB,0xDB,0xBB,0xBB,0x81,0xFB,0xFB,0xE1,0xFF,0xFF},/*"4",4*/ {0xFF,0xFF,0xFF,0x81,0xBF,0xBF,0xBF,0xA7,0x9B,0xFD,0xFD,0xBD,0xBB,0xC7,0xFF,0xFF},/*"5",5*/ {0xFF,0xFF,0xFF,0xE3,0xDB,0xBF,0xBF,0xA7,0x9B,0xBD,0xBD,0xBD,0xDB,0xE7,0xFF,0xFF},/*"6",6*/ {0xFF,0xFF,0xFF,0x81,0xBB,0xBB,0xF7,0xF7,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xFF,0xFF},/*"7",7*/ {0xFF,0xFF,0xFF,0xC3,0xBD,0xBD,0xBD,0xDB,0xE7,0xDB,0xBD,0xBD,0xBD,0xC3,0xFF,0xFF},/*"8",8*/ {0xFF,0xFF,0xFF,0xE7,0xDB,0xBD,0xBD,0xBD,0xD9,0xE5,0xFD,0xFD,0xDB,0xC7,0xFF,0xFF},/*"9",9*/ }; uchar const code DATETMP[][32]={ {0xF7,0xFF,0xF0,0x03,0xEF,0x7F,0xEF,0x7F,0xDF,0x7F,0xB0,0x07,0x77,0x7F,0xF7,0x7F,0xF7,0x7F,0xF7,0x7F,0x00,0x01,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F},/*"年",0*/ {0xF8,0x0F,0xFB,0xEF,0xFB,0xEF,0xFB,0xEF,0xF8,0x0F,0xFB,0xEF,0xFB,0xEF,0xFB,0xEF,0xF8,0x0F,0xFB,0xEF,0xF7,0xEF,0xF7,0xEF,0xEF,0xEF,0xDF,0xAF,0xBF,0xDF,0xFF,0xFF},/*"月",1*/ {0xFF,0xFF,0xE0,0x0F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE0,0x0F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE0,0x0F,0xEF,0xEF,0xFF,0xFF,0xFF,0xFF},/*"日",2*/ {0xFE,0xFF,0xFE,0xFF,0xFE,0x07,0xFE,0xFF,0xFE,0xEF,0xE0,0x07,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE0,0x0F,0xEF,0xEF,0xFE,0xEF,0xD7,0x77,0xDB,0xBB,0xBB,0xBB,0xFF,0xFF},/*"点",3*/ {0xF7,0x7F,0xF3,0x7F,0xF7,0xBF,0xEF,0xDF,0xEF,0xCF,0xDF,0xE7,0xBF,0xF1,0x60,0x1B,0xFB,0xDF,0xFB,0xDF,0xFB,0xDF,0xFB,0xDF,0xF7,0xDF,0xEF,0x5F,0xDF,0xBF,0xBF,0xFF},/*"分",4*/ {0xFF,0xFF,0xE0,0x07,0xEF,0xF7,0xE0,0x07,0xEF,0xF7,0xE0,0x07,0xFE,0xFF,0xEE,0xFF,0xE0,0x03,0xDE,0xFF,0xDE,0xFF,0xB0,0x07,0xFE,0xFF,0xFE,0xFF,0x80,0x01,0xFF,0xFF},/*"星",5*/ {0xDD,0xFF,0xDD,0x83,0x80,0xBB,0xDD,0xBB,0xC1,0xBB,0xDD,0x83,0xC1,0xBB,0xDD,0xBB,0xDD,0xBB,0x00,0x83,0xFF,0xBB,0xDB,0x7B,0xDD,0x7B,0xBC,0xEB,0x7E,0xF7,0xFF,0xFF},/*"期",6*/ } ; uchar const code WEEK[][32]={ {0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFB,0x80,0x01,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF},/*"一",0*/ {0xFF,0xFF,0xFF,0xEF,0xC0,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFB,0x80,0x01,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF},/*"二",1*/ {0xFF,0xFF,0xFF,0xFF,0x80,0x03,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC0,0x07,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0x80,0x01,0xFF,0xFF,0xFF,0xFF},/*"三",2*/ {0xFF,0xFF,0x80,0x03,0xBB,0x7B,0xBB,0x7B,0xBB,0x7B,0xBB,0x7B,0xBB,0x7B,0xBB,0x7B,0xB7,0x7B,0xB7,0x83,0xAF,0xFB,0x9F,0xFB,0xBF,0xFB,0x80,0x03,0xBF,0xFB,0xFF,0xFF},/*"四",3*/ {0xFF,0xFF,0x80,0x07,0xFD,0xFF,0xFD,0xFF,0xFD,0xFF,0xFD,0xFF,0xFD,0xDF,0xC0,0x0F,0xFB,0xDF,0xFB,0xDF,0xFB,0xDF,0xFB,0xDF,0xFB,0xDB,0x00,0x01,0xFF,0xFF,0xFF,0xFF},/*"五",4*/ {0xFB,0xFF,0xFC,0xFF,0xFE,0x7F,0xFE,0xFF,0x00,0x01,0xFF,0xFF,0xFF,0xFF,0xFB,0x7F,0xF9,0xBF,0xFB,0xDF,0xF7,0xEF,0xF7,0xE7,0xEF,0xF3,0xDF,0xF3,0xBF,0xF7,0xFF,0xFF},/*"六",5*/ {0xFF,0xFF,0xE0,0x0F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE0,0x0F,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xEF,0xE0,0x0F,0xEF,0xEF,0xFF,0xFF,0xFF,0xFF},/*"日",6*/ }; #endif 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 指导教师评阅书 指导教师评价: 一、撰写(设计)过程 1、学生在论文(设计)过程中的治学态度、工作精神 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、学生掌握专业知识、技能的扎实程度 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生综合运用所学知识和专业技能分析和解决问题的能力 □ 优 □ 良 □ 中 □ 及格 □ 不及格 4、研究方法的科学性;技术线路的可行性;设计方案的合理性 □ 优 □ 良 □ 中 □ 及格 □ 不及格 5、完成毕业论文(设计)期间的出勤情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 指导教师: (签名) 单位: (盖章) 年 月 日 评阅教师评阅书 评阅教师评价: 一、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 评阅教师: (签名) 单位: (盖章) 年 月 日 教研室(或答辩小组)及教学系意见 教研室(或答辩小组)评价: 一、答辩过程 1、毕业论文(设计)的基本要点和见解的叙述情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、对答辩问题的反应、理解、表达情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生答辩过程中的精神状态 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 评定成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 教研室主任(或答辩小组组长): (签名) 年 月 日 教学系意见: 系主任: (签名) 年 月 日 学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。 学位论文作者(本人签名): 年 月 日 学位论文出版授权书 本人及导师完全同意《中国博士学位论文全文数据库出版章程》、《中国优秀硕士学位论文全文数据库出版章程》(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在《中国博士学位论文全文数据库》、《中国优秀硕士学位论文全文数据库》中全文发表和以电子、网络形式公开出版,并同意编入CNKI《中国知识资源总库》,在《中国博硕士学位论文评价数据库》中使用和在互联网上传播,同意按“章程”规定享受相关权益。 论文密级: □公开 □保密(___年__月至__年__月)(保密的学位论文在解密后应遵守此协议) 作者签名:_______ 导师签名:_______ _______年_____月_____日 _______年_____月_____日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 二〇一〇年九月二十日   毕业设计(论文)使用授权声明 本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 二〇一〇年九月二十日 致 谢 时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。 首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。 首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。 其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。 另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。 最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。 四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。 回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。 学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。 在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。 最后,我要特别感谢我的导师赵达睿老师、和研究生助教熊伟丽老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 年 月 日   毕业设计(论文)使用授权声明 本人完全了解**学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 年 月 日 基本要求:写毕业论文主要目的是培养学生综合运用所学知识和技能,理论联系实际,独立分析,解决实际问题的能力,使学生得到从事本专业工作和进行相关的基本训练。毕业论文应反映出作者能够准确地掌握所学的专业基础知识,基本学会综合运用所学知识进行科学研究的方法,对所研究的题目有一定的心得体会,论文题目的范围不宜过宽,一般选择本学科某一重要问题的一个侧面。 毕业论文的基本教学要求是: 1、培养学生综合运用、巩固与扩展所学的基础理论和专业知识,培养学生独立分析、解决实际问题能力、培养学生处理数据和信息的能力。2、培养学生正确的理论联系实际的工作作风,严肃认真的科学态度。3、培养学生进行社会调查研究;文献资料收集、阅读和整理、使用;提出论点、综合论证、总结写作等基本技能。 毕业论文是毕业生总结性的独立作业,是学生运用在校学习的基本知识和基础理论,去分析、解决一两个实际问题的实践锻炼过程,也是学生在校学习期间学习成果的综合性总结,是整个教学活动中不可缺少的重要环节。撰写毕业论文对于培养学生初步的科学研究能力,提高其综合运用所学知识分析问题、解决问题能力有着重要意义。 毕业论文在进行编写的过程中,需要经过开题报告、论文编写、论文上交评定、论文答辩以及论文评分五个过程,其中开题报告是论文进行的最重要的一个过程,也是论文能否进行的一个重要指标。 撰写意义:1.撰写毕业论文是检验学生在校学习成果的重要措施,也是提高教学质量的重要环节。大学生在毕业前都必须完成毕业论文的撰写任务。申请学位必须提交相应的学位论文,经答辩通过后,方可取得学位。可以这么说,毕业论文是结束大学学习生活走向社会的一个中介和桥梁。毕业论文是大学生才华的第一次显露,是向祖国和人民所交的一份有份量的答卷,是投身社会主义现代化建设事业的报到书。一篇毕业论文虽然不能全面地反映出一个人的才华,也不一定能对社会直接带来巨大的效益,对专业产生开拓性的影响。但是,实践证明,撰写毕业论文是提高教学质量的重要环节,是保证出好人才的重要措施。 2.通过撰写毕业论文,提高写作水平是干部队伍“四化”建设的需要。党中央要求,为了适应现代化建设的需要,领导班子成员应当逐步实现“革命化、年轻化、知识化、专业化”。这个“四化”的要求,也包含了对干部写作能力和写作水平的要求。 3.提高大学生的写作水平是社会主义物质文明和精神文明建设的需要。在新的历史时期,无论是提高全族的科学文化水平,掌握现代科技知识和科学管理方法,还是培养社会主义新人,都要求我们的干部具有较高的写作能力。在经济建设中,作为领导人员和机关的办事人员,要写指示、通知、总结、调查报告等应用文;要写说明书、广告、解说词等说明文;还要写科学论文、经济评论等议论文。在当今信息社会中,信息对于加快经济发展速度,取得良好的经济效益发挥着愈来愈大的作用。写作是以语言文字为信号,是传达信息的方式。信息的来源、信息的收集、信息的储存、整理、传播等等都离不开写作。 论文种类:毕业论文是学术论文的一种形式,为了进一步探讨和掌握毕业论文的写作规律和特点,需要对毕业论文进行分类。由于毕业论文本身的内容和性质不同,研究领域、对象、方法、表现方式不同,因此,毕业论文就有不同的分类方法。 按内容性质和研究方法的不同可以把毕业论文分为理论性论文、实验性论文、描述性论文和设计性论文。后三种论文主要是理工科大学生可以选择的论文形式,这里不作介绍。文科大学生一般写的是理论性论文。理论性论文具体又可分成两种:一种是以纯粹的抽象理论为研究对象,研究方法是严密的理论推导和数学运算,有的也涉及实验与观测,用以验证论点的正确性。另一种是以对客观事物和现象的调查、考察所得观测资料以及有关文献资料数据为研究对象,研究方法是对有关资料进行分析、综合、概括、抽象,通过归纳、演绎、类比,提出某种新的理论和新的见解。 按议论的性质不同可以把毕业论文分为立论文和驳论文。立论性的毕业论文是指从正面阐述论证自己的观点和主张。一篇论文侧重于以立论为主,就属于立论性论文。立论文要求论点鲜明,论据充分,论证严密,以理和事实服人。驳论性毕业论文是指通过反驳别人的论点来树立自己的论点和主张。如果毕业论文侧重于以驳论为主,批驳某些错误的观点、见解、理论,就属于驳论性毕业论文。驳论文除按立论文对论点、论据、论证的要求以外,还要求针锋相对,据理力争。 按研究问题的大小不同可以把毕业论文分为宏观论文和微观论文。凡届国家全局性、带有普遍性并对局部工作有一定指导意义的论文,称为宏观论文。它研究的面比较宽广,具有较大范围的影响。反之,研究局部性、具体问题的论文,是微观论文。它对具体工作有指导意义,影响的面窄一些。 另外还有一种综合型的分类方法,即把毕业论文分为专题型、论辩型、综述型和综合型四大类: 1.专题型论文。这是分析前人研究成果的基础上,以直接论述的形式发表见解,从正面提出某学科中某一学术问题的一种论文。如本书第十二章例文中的《浅析领导者突出工作重点的方法与艺术》一文,从正面论述了突出重点的工作方法的意义、方法和原则,它表明了作者对突出工作重点方法的肯定和理解。2.论辩型论文。这是针对他人在某学科中某一学术问题的见解,凭借充分的论据,着重揭露其不足或错误之处,通过论辩形式来发表见解的一种论文。3.综述型论文。这是在归纳、总结前人或今人对某学科中某一学术问题已有研究成果的基础上,加以介绍或评论,从而发表自己见解的一种论文。4.综合型论文。这是一种将综述型和论辩型两种形式有机结合起来写成的一种论文。如《关于中国民族关系史上的几个问题》一文既介绍了研究民族关系史的现状,又提出了几个值得研究的问题。因此,它是一篇综合型的论文。 写作步骤:毕业论文是高等教育自学考试本科专业应考者完成本科阶段学业的最后一个环节,它是应考者的 总结 性独立作业,目的在于总结学习专业的成果,培养综合运用所学知识解决实际 问题 的能力。从文体而言,它也是对某一专业领域的现实问题或 理论 问题进行 科学 研究 探索的具有一定意义的论说文。完成毕业论文的撰写可以分两个步骤,即选择课题和研究课题。 首先是选择课题。选题是论文撰写成败的关键。因为,选题是毕业论文撰写的第一步,它实际上就是确定“写什么”的问题,亦即确定科学研究的方向。如果“写什么”不明确,“怎么写”就无从谈起。 教育部自学考试办公室有关对毕业论文选题的途径和要求是“为鼓励理论与工作实践结合,应考者可结合本单位或本人从事的工作提出论文题目,报主考学校审查同意后确立。也可由主考学校公布论文题目,由应考者选择。毕业论文的总体要求应与普通全日制高等学校相一致,做到通过论文写作和答辩考核,检验应考者综合运用专业知识的能力”。但不管考生是自己任意选择课题,还是在主考院校公布的指定课题中选择课题,都要坚持选择有科学价值和现实意义的、切实可行的课题。选好课题是毕业论文成功的一半。 第一、要坚持选择有科学价值和现实意义的课题。科学研究的目的是为了更好地认识世界、改造世界,以推动社会的不断进步和发展 。因此,毕业论文的选题,必须紧密结合社会主义物质文明和精神文明建设的需要,以促进科学事业发展和解决现实存在问题作为出发点和落脚点。选题要符合科学研究的正确方向,要具有新颖性,有创新、有理论价值和现实的指导意义或推动作用,一项毫无意义的研究,即使花很大的精力,表达再完善,也将没有丝毫价值。具体地说,考生可从以下三个方面来选题。首先,要从现实的弊端中选题,学习了专业知识,不能仅停留在书本上和理论上,还要下一番功夫,理论联系实际,用已掌握的专业知识,去寻找和解决工作实践中急待解决的问题。其次,要从寻找科学研究的空白处和边缘领域中选题,科学研究。还有许多没有被开垦的处女地,还有许多缺陷和空白,这些都需要填补。应考者应有独特的眼光和超前的意识去思索,去发现,去研究。最后,要从寻找前人研究的不足处和错误处选题,在前人已提出来的研究课题中,许多虽已有初步的研究成果,但随着社会的不断发展,还有待于丰富、完整和发展,这种补充性或纠正性的研究课题,也是有科学价值和现实指导意义的。 第二、要根据自己的能力选择切实可行的课题。毕业论文的写作是一种创造性劳动,不但要有考生个人的见解和主张,同时还需要具备一定的客观条件。由于考生个人的主观、客观条件都是各不相同的,因此在选题时,还应结合自己的特长、兴趣及所具备的客观条件来选题。具体地说,考生可从以下三个方面来综合考虑。首先,要有充足的资料来源。“巧妇难为无米之炊”,在缺少资料的情况下,是很难写出高质量的论文的。选择一个具有丰富资料来源的课题,对课题深入研究与开展很有帮助。其次,要有浓厚的研究兴趣,选择自己感兴趣的课题,可以激发自己研究的热情,调动自己的主动性和积极性,能够以专心、细心、恒心和耐心的积极心态去完成。最后,要能结合发挥自己的业务专长,每个考生无论能力水平高低,工作岗位如何,都有自己的业务专长,选择那些能结合自己工作、发挥自己业务专长的课题,对顺利完成课题的研究大有益处。 致 谢 这次论文的完成,不止是我自己的努力,同时也有老师的指导,同学的帮助,以及那些无私奉献的前辈,正所谓你知道的越多的时候你才发现你知道的越少,通过这次论文,我想我成长了很多,不只是磨练了我的知识厚度,也使我更加确定了我今后的目标:为今后的计算机事业奋斗。在此我要感谢我的指导老师——***老师,感谢您的指导,才让我有了今天这篇论文,您不仅是我的论文导师,也是我人生的导师,谢谢您!我还要感谢我的同学,四年的相处,虽然我未必记得住每分每秒,但是我记得每一个有你们的精彩瞬间,我相信通过大学的历练,我们都已经长大,变成一个有担当,有能力的新时代青年,感谢你们的陪伴,感谢有你们,这篇论文也有你们的功劳,我想毕业不是我们的相处的结束,它是我们更好相处的开头,祝福你们!我也要感谢父母,这是他们给我的,所有的一切;感谢母校,尽管您不以我为荣,但我一直会以我是一名农大人为荣。 通过这次毕业设计,我学习了很多新知识,也对很多以前的东西有了更深的记忆与理解。漫漫求学路,过程很快乐。我要感谢信息与管理科学学院的老师,我从他们那里学到了许多珍贵的知识和做人处事的道理,以及科学严谨的学术态度,令我受益良多。同时还要感谢学院给了我一个可以认真学习,天天向上的学习环境和机会。 即将结束*大学习生活,我感谢****大学提供了一次在**大接受教育的机会,感谢院校老师的无私教导。感谢各位老师审阅我的论文。 上移 右移 左移 _1234567891.unknown _1234567892.unknown _1234567893.unknown _1234567890.unknown
本文档为【基于单片机的多功能广告牌设计毕业论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
不系舟红枫
从教近30年,经验丰富,教学水平较高
格式:doc
大小:8MB
软件:Word
页数:0
分类:工学
上传时间:2019-01-22
浏览量:1