首页 NC-Verilog

NC-Verilog

举报
开通vip

NC-Verilog DATASHEET DATASHEETDATASHEET DATASHEET NC NCNC NC- -- -VERILOG VERILOGVERILOG VERILOG 图1: NC-Verilog完全兼容Cadence Incisive验证平台上的...

NC-Verilog
DATASHEET DATASHEETDATASHEET DATASHEET NC NCNC NC- -- -VERILOG VERILOGVERILOG VERILOG 图1: NC-Verilog完全兼容Cadence Incisive验证平台上的Verilog模拟器 Cadence NC-Verilog ® 是业界第一的Verilog ® 模拟器,提供了高性能和高容量的事务/信号 视窗和集成的覆盖率分析。NC-Verilog完全兼容Incisive一体化平台,让你能够很容易从 系统设计升级到全面的纳米工艺集成电路片上系统设计的数字化验证平台。 INCISIVE INCISIVEINCISIVE INCISIVE验证平台 验证平台验证平台 验证平台 纳米工艺集成电路的功能验证 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 速度和效率。然而今天分 割的设计方法不可能进行优 化。每个验证阶段都有自己的 方法、工具、模型以及用户界 面。工程师必须在每个设计阶 段重复建立几乎所有的东西。 Incisive ™ 验证平台是世界上 第一个支持一体化设计方法的 验证系统,是业界最快速、最 高效的验证系统,涵盖从系统 设计到片上系统的整个设计领 域。 NC-SC NC NCNC NC- -- -VERILOG VERILOGVERILOG VERILOG NC-Verilog 提供了高性能、高 容量的 Verilog 模拟,它包括 事务/信号检视、集成化的覆盖 分析和支持大多数 Verilog 2001 特性。 完全兼容Incisive 验证平台,设计团队能够很容 易升级到Incisive 一体化的模 拟器和 Incisive-XLD 团队验证 平台工具,内生支持Verilog、 VHDL、SystemC®、 SystemC®验 证库,PSL/Sugar 断言,以及按 需加速。 优点 优点优点 优点 • 业界第一的性能加快设计验 证 • 64位机器上设计超过1亿门的 芯片 • 用一体化的事务/信号视窗最 大化效率 • 集成化的代码覆盖保证全面 的验证 • 通过完全兼容的升级到 Incisive验证平台获得终极的 验证速度和效率 • 来自超过 30 家 ASIC 供应商 的经过认证的库保证精确性 特点 特点特点 特点 业界第一的性能 业界第一的性能业界第一的性能 业界第一的性能和容量 和容量和容量 和容量 NC-Verilog 为 Verilog 设计提 供了业界第一的模拟性能,它 使用独特的内生的Incisive 一 体化模拟器编译架构。它从 Verilog 直接生成高效的机器 码用于高速执行。结果数据结 构的链表安排预处理信号行为 和最大化现代计算平台缓存算 法的效率。 NC-Verilog 性能剖析器能识别瓶 颈。设计者可以通过观察每个模块 如何影响总体性能,找到活跃模块。 通过找到消耗最多模拟时间的区 域,常常小小的一点改变就能极大 地提高模拟性能。NC-Verilog 64 位容量能够模拟大于 1 亿门的电路 设计。 一体化环境和调试 一体化环境和调试一体化环境和调试 一体化环境和调试 一体化的 NC-Verilog 模拟和调试 环境使得很容易管理多个设计的运 行和分析设计与测试平台。 它的事 务/波形视窗和原理图追踪器能迅 速追踪设计行为到源代码。 NC-Verilog源代码视窗让设计者检 查他们的设计,设置断点控制模拟 执行,和以交互模式或者后处理调 试模式访问模拟结果。Tcl/TK 支持 能让你定制和集成应用程序到环 境。工业标准的应用程序编程接口, 比如 VPI、 PLI、OMI 以及编译 SDF 支持用户自定义检查和分析,项目 设计团队只需学习使用一个环境。 集成的代码覆盖 集成的代码覆盖集成的代码覆盖 集成的代码覆盖 NC-Verilog 提供广泛的覆盖度 量用于决定怎样对设计执行测 试。包括模块覆盖、路径覆盖、 表达式覆盖、状态变量覆盖、 状态序列覆盖以及切换覆盖。 集成化的覆盖分析和显示工具 能让你迅速决定是否需要开发 另外的测试电路。 灵活的选项 灵活的选项灵活的选项 灵活的选项 升级NC-Verilog到Cadence Incisive验证平台: • 支持多语言模拟(Verilog, VHDL, SystemC®, SystemC® 验 证库以及PSL/Sugar) • 通过内生的事务模拟,性能 提高100倍 • 通过按需加速,性能提高100 倍 • 通过支持事务级,测试平台 开发量缩小 50%,一体化的测试 生成以及验证组件重用 图 2: NC-Verilog 的一体化模拟和调试环境易于管理多个模拟进程和 分析设计、测试台 • 缩短调试时间超过25%,通过 一体化的事务/信号视窗,内生 的断言支持,以及一体化的多 语言调试环境 • 使用代码和功能覆盖分析, 保证全面的验证 • 模拟、混合信号/RF电路验证 • 设计验证模型重用的算法开 发 说明 说明说明 说明 模拟 模拟模拟 模拟 • 内生的编译结构 – Verilog IEEE 1364-1995与 大部分IEEE 1364-2001 扩展 – 直接编译到助处理器的机器 码,达到最高性能 • 通过库映射文件支持Verilog 配置 – 支持多维阵列,比如寄存器、 整数和时间 – 允许生成模块、primitive、 变量、线网、任务、连续赋值、 初始化模块和always模块的多 个实体。 – 最大化现代缓存算法的效率 • 容量 –典型1000万等效门,32位操 作系统(可寻址4GB空间) –典型1亿等效门,64位操作系 统 • 服务器 –平台计算LSF –Sun Microsystems Gridware 结果分析 结果分析结果分析 结果分析 • 调试和图形用户接口 – 波形窗口 – 寄存器窗口 – 一体化的事务/信号视窗 – 原理图追踪器 – 表达式计算器 – 信号流浏览器 – 源代码视窗 – 错误浏览器 – Tcl/Tk脚本用于客户定制显示 – 在SST数据库中 记录 混凝土 养护记录下载土方回填监理旁站记录免费下载集备记录下载集备记录下载集备记录下载 信号和事件 数据 • 性能分析工具 –划出主要模拟活动代码区域 • 代码覆盖 – 自动有限状态机(FSM)提取 – 覆盖特性支持包括模块、路径、 表达式、变量、门、有限状态机FSM (状态、序列)、切换 – 覆盖重用 – 排序覆盖权重 – 按位的表达式分析 第三方支持 第三方支持第三方支持 第三方支持 • ASIC库 – 超过30家ASIC供应商具有 Incisive认证库 – 超过150个独特的库 • 模型 – 第三方模型支持,通过Cadence 验证的IP合作伙伴项目 • 工具 – 第三方工具支持,通过 Cadence Connections 项目,超过 30 个 验证工具合作公司 接口 接口接口 接口 • PLI(IEEE 1364) • VPI(PLI 2.0, IEEE 1364) • OMI(IEEE 1499) • 编译过的SDF 平台 平台平台 平台 • Sun Solaris • HP-UX • Linux • Windows NT CADENCE CADENCECADENCE CADENCE服务和支持 服务和支持服务和支持 服务和支持 • Cadence应用工程师能够通过电 话、电子邮件或者Internet回答你 的技术问题,他们也能提供技术支 持和客户培训 • Cadence认证讲师教授超过70门 课程,带给你他们的实践经验 • 超过25门Internet学习系列 (iLS)在线课程能让你通过 Internet完成灵活的培训 • SourceLink 在线客户支持回答 你的技术问题—一天 24 小时,一 周 7 天—包括最新的季度软件汇 集、产品更改发布信息、技术文档、 解决 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 、软件更新以及更多。 更多信息 更多信息更多信息 更多信息 发电子邮件到 info@cadence.com 或者登陆 www.cadence.com “OSCI®, SystemC® etc are registered trademarks of Open SystemC Initiative, Inc. in the United States and other countries and are used with permission.” © 2003 Cadence Design Systems, Inc. All rights reserved. Cadence, NC-Verilog, Verilog, SourceLink, and the Cadence logo are registered trademarks and Incisive is a trademark of Cadence Design Systems, Inc. All others are properties of their respective holders. 4492D 10/03
本文档为【NC-Verilog】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_217606
暂无简介~
格式:pdf
大小:403KB
软件:PDF阅读器
页数:3
分类:互联网
上传时间:2011-08-31
浏览量:72