首页 复旦大学(伪随机测试)

复旦大学(伪随机测试)

举报
开通vip

复旦大学(伪随机测试)   文章编号 : 042727104 (2006) 0420517206 收稿日期 : 2006203202 基金项目 : 国家自然科学基金资助项目 (90207002 ,60073032) 作者简介 : 张建胜 (1981 —) ,男 ,硕士研究生 ;通讯联系人唐璞山教授 ,博士生导师. 变长重复播种测试码生成方法 张建胜 ,黄维康 ,唐璞山 (复旦大学 专用集成电路与系统国家重点实验室 ,上海 200433) 摘  要 : 提出了一种变长重复播种测试码生成方法. 该方法使用重复播种技术 ,但是每个种子产...

复旦大学(伪随机测试)
  文章编号 : 042727104 (2006) 0420517206 收稿日期 : 2006203202 基金项目 : 国家自然科学基金资助项目 (90207002 ,60073032) 作者简介 : 张建胜 (1981 —) ,男 ,硕士研究生 ;通讯联系人唐璞山教授 ,博士生导师. 变长重复播种测试码生成方法 张建胜 ,黄维康 ,唐璞山 (复旦大学 专用集成电路与系统国家重点实验室 ,上海 200433) 摘  要 : 提出了一种变长重复播种测试码生成方法. 该方法使用重复播种技术 ,但是每个种子产生的伪随机测 试码序列的长度不同. 每个种子可以产生长度为全长 L , 3 L / 4 , L / 2 , L / 4 ,和单个种子 1 的伪随机测试码序列. 该变长技术的一个优点是可以有效地截去大量冗余伪随机测试码 ,减少测试施加时间. ISCAS85 和 ISCAS89 电 路的实验 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 明 ,同定长序列重复播种测试码生成相比较 ,平均减少近 36. 22 %的测试时间 (最多 57. 49 %) ,面积 增加仅为 4. 41 %. 关键词 : 半导体技术 ; 可测性设计 ; 内建自测试 ; 线性反馈移位寄存器 中图分类号 : TN 407      文献标识码 : A   随着各种数字系统尤其是数字计算机的飞速发展 ,集成电路得到了十分广泛的应用 ,其制造水平和工 艺也随之迅速提高. 为了保证芯片功能的正确性以及芯片运行的可靠性 ,集成电路测试技术成为了数字系 统设计制造过程中非常关键的一环. 然而集成电路的集成度 ,规模和复杂度呈现出几何级数的增长速度 , 这给集成电路测试带来了很大的难度 ,由此导致测试时间增长 ,测试成本显著提高. 采用可测性设计 (de2 sign for test , DFT)技术 ,已经成为解决集成电路测试问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 的必然途径. 目前 ,DFT 方法已经很多. 内建自测试 (built in self test ,B IST)技术是其中重要的一种 ,它通过在集成 电路中嵌入自测试模块 ,能够很大程度上缓解对测试设备越来越高的要求而导致的测试成本的急剧上升 , 从而降低总的成本. B IST 的附加电路主要包括测试码生成器 ,测试响应分析器和测试控制器三个部分. 目 前 ,针对测试码生成器已经做了大量的研究工作 ,研究工作的主要目标在减少硬件开销 ,提高故障覆盖率 , 降低测试功耗和缩短测试时间等方面. 测试码生成器主要有伪随机测试码生成器 ,确定性测试码生成器 ,以及混合测试码生成器. 伪随机测 试码生成器通常采用线性反馈移位寄存器 (linear feedback shift register , L FSR) . 它的优点是结构简单 ,硬 件开销少 ;缺点是测试序列长 (因而测试时间长) ,存在冗余伪随机测试码序列. 这些冗余伪测试码序列不 能检测任何故障 ,不能提高故障覆盖率 ,并且随着伪随机测试码生成器生成的测试码序列数目的增加 ,冗 余伪随机测试码序列的数目会快速增加. 伪随机测试码生成器的另一个缺点是存在难测故障 ,即这些故障 很难用伪随机测码生成器生成的测试码检测出来. 为了克服线性反馈移位寄存器作为伪随机码生成器的 缺点 ,提出了重复播种[1 ] ,用 L FSR 产生加权随机测试码[2 ] ,固定位随机测试码[3 ]和 L FSR 和 ROM 混 合[4 ]等方案. 有关各种方法的优缺点 ,文献[1 ]作了较为详细的叙述. 文献[1 ]提出的方案用难测故障的测试码作为重播种子. 由于利用种子测试码中的随意位进行存储压 缩 ,硬件开销较少 (平均为 5 %) . 该方案虽然对种子产生的测试码序列进行了最小化处理 ,但由于每个种 子产生的伪随机测试码序列是等长的 ,冗余伪随机测试码仍很多 ,因而测试码序列长度仍很长. 本文提出了一种测试码生成方法 ———变长重复播种方法 ,该方法可以有效地截去大量冗余伪随机测 试码序列 ,缩短总测试码序列的长度 ,减少测试施加时间 ,提高测试效率 ,同时故障覆盖率保持基本不变 , 额外面积开销也相当小. 第 45 卷 第 4 期 2006 年 8 月 复 旦 学 报 (自然科学版) Journal of Fudan Universit y (Natural Science) Vol. 45 No. 4 Aug. 2006 © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 1  变长重复播种测试码生成 数字电路测试中一个非常重要的参数是测试施加时间 ,一般而言 ,测试施加时间与测试码序列长度成 正比. 对于确定性测试方法 ,测试码序列长度可由测试所有可能存在的故障所需的故障覆盖率来确定. 当 然 ,测试序列越长 ,故障覆盖率越高. 对于随机测试 ,故障覆盖率 T 与随机测试序列长度 L 的关系可按下 式[5 ]近似表达 , T = 1 - e -λlg L ×100 %. (1)   对于每一个给定的电路 ,λ是常量. 由 (1) 式可以得出 , T 是随机测试序列长度 L 的递增函数 ,但是 T 与 L 关系曲线的斜率递减. 随着伪随机测试码序列长度 L 的增加 ,故障覆盖率曲线接近饱和. 所以随着故 障覆盖率的提高 ,相同长度的伪随机测试码序列检测到的故障数越来越少 ,冗余伪随机测试码越来越多 , 这些冗余伪随机测试码检测不出任何故障 ,不仅不能提高故障覆盖率 ,而且会增加测试施加时间和测试功 耗. 下面的变长重复播种方法可有效地截去大量冗余伪随机测试码序列. 1. 1  变长重复播种测试码生成方法 变长重复播种测试码生成方法指的是每个种子产生的伪随机测试码序列的长度是可以变化的. 图 1  变长重复播种测试方法 Fig. 1  The method of reseeding test with variable length 如图 1 所示 ,变长重复播种测试码生成器 ,响应分析 电路和测试控制电路一起 ,可以完成对一个被测电路的测 试.其中变长重复播种测试码生成器由地址计数器、 ROM ,L FSR 和变长计数器组成. 和定长重复播种测试码 生成器不同的是 ,该 ROM 中一部分存放种子 ,另一部分 存放与该种子对应的计数控制位 ABC. ABC 控制变长计 数器的计数长度. 变长重复播种测试码生成器的工作过程为 :L FSR 产 生伪随机测试码序列 ,产生码的速率由时钟 clk 控制 ,变长 计数器控制伪随机测试码序列的长度 ;当计数值达到预定 数后 ,计数器输出控制信号 ,使变长计数器复位 ( reset) ,同 时使地址计数器加 1 ,读出 ROM 中下一个 seed 和对应的 计数控制位 ABC ;该 seed 装入 L FSR 作为初始值 ,产生下 一批伪随机测试码序列 ;ABC 控制变长计数器的计数长 度. 上面提出的变长重复播种测试码生成方法 ,它的截去冗余伪随机测试码序列的原理如图 2 所示 :每个 图 2  截去冗余伪随机测试码序列原理 Fig. 2  The principle of cutting redundant pseudo random test pattern 种子加上由该种子产生的伪随机测试码序列的长度为 L ,伪 随机测试码序列的长度为 L - 1 . x i 表示冗余伪随机测试码 序列的长度. 如果 3 L / 4 < x i < L - 1 ,则变长计数器计数长度 为 L / 4 ,当计满 L / 4 时 ,变长计数器复位 ,装载 ROM 中的下 一个种子到 L FSR 中 ,并且装载相应的控制位 ABC 控制变长 计数器 ;如果 L / 2 < x i < 3 L / 4 , 则变长计数器计数长度为 L / 2 ;如果 L / 4 < x i < L / 2 ,则变长计数器计数长度为 3 L / 4 ; 如果 1 < x i < L / 4 ,则变长计数器计数长度为 L ;如果 x i = L - 1 ,则变长计数器计数长度为 1 . 如果冗余伪随机测试码序列 x i 不在 L - 1 长伪随机测试码序列的末端 ,如图 2 中的 x 1 所示 ,此时 (变 长重复播种方法) 不能截去该段的冗余伪随机测试码序列. 不过 ,由 (1) 式 ,随着伪随机测试码序列长度的增加 ,伪随机测试码序列的测试效率会变低 ,冗余伪随 机测试码序列的大部分一般在 L - 1 长伪随机测试码序列的末端 ,如 x i , x n 所示 ,而且一般当 i < j 时 , x i < x j ,即第 i 个种子的在末端的冗余伪随机测试码序列的长度小于第 j 个种子的在末端的冗余伪随机 815    复 旦 学 报 (自然科学版) 第 45 卷   © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 测试码序列的长度. 对于 x i 的长度 ,可以通过故障仿真的方法判断 ,具体如下 :对于每个种子 ,如果只有种子检测出故障 , 则 x i 等于 L - 1 ;如果从每个种子开始 ,能够检测出故障的有效测试码范围为 0 到 L / 4 ,则 x i 等于 3 L / 4 ; 如果有效测试码范围为 0 到 L / 2 ,则 x i 等于 L / 2 ;如果有效测试码范围为 0 到 3 L / 4 ,则 x i 等于 L / 4 ;如果 有效测试码范围为 0 到 L ,则 x i 等于 0 . 图 3  变长计数器 Fig. 3  Counter with variable length 1. 2  变长计数器 图 1 中的变长计数器的一种结构如图 3 所示 ,该变长 计数器有 3 个控制位 ABC ,一共有 5 种计数长度 ,分别为 全长 L ,3 L / 4 , L / 2 , L / 4 ,单个种子 1 长. 通过 ABC 控制 由种子产生的伪随机测试码序列的长度 ,截去冗余伪随机 测试码序列. 当然 ,也可以设计只有 1 个 (或大于 3 个) 控 制位 ,只能计数 2 种长度或者有 2 个控制位 ,可以计数 4 种长度的变长计数器 ,这样计数器的面积开销会比图 3 小 ,但是在这两种情况下 ,变长计数器可以截去的冗余伪 随机测试码序列的数目就比较有限. 所以变长计数器的设 计兼顾了能够有效地截去大部分冗余伪随机测试码序列和计数器额外面积开销不能太大的原则. 该变长计数器的核心是一个 N - 2 位长度 (二进制)计数器 ,和多路选择器 (MUX) 等组成. 如果 N 位 长度计数器可计数全长 L (即 L = 2 N ) ,则 N - 2 位长度计数器可计数 L / 4. 当控制信号 ABC 为 001 时 ,选 通 N - 2 位长度计数器的输出 ,当计数器计数到 L / 4 时 ,复位并且输出高电平信号 out 给变长重复播种测 试码生成电路中的地址计数器 ,地址计数器加 1 ,从 ROM 中读出下一个 seed 和对应的变长计数器控制信 号 ,分别给 L FSR 和变长计数器的控制端 ABC. 当控制信号 ABC 为 010 时 , N - 2 位长度计数器和 1 位长度计数器级联组成 L / 2 长计数器 ,并且被 选通输出触发地址计数器. 当控制信号 ABC 为 110 时 , N - 2 位长度计数器和 2 位长度计数器级联组成 3 L / 4 长计数器 ,并且被 选通输出触发地址计数器. 其中 2 位长度计数器计数为 3 时输出高电平信号. 当控制信号 ABC 为 100 时 , N - 2 位长度计数器和 2 个 1 位长度计数器级联组成 L 长计数器 ,并且 被选通输出触发地址计数器. 和一个 N 位长计数器等价. 当控制信号 ABC 为 101 时 ,四选一 MUX 和二选一 MUX 选通 clk 信号作为输出 ,触发地址计数器 , 计数长度为 1. 当控制信号 ABC 为其他控制值时 ,变长计数器不工作. 1. 3  额外面积开销计算方法 在变长计数器中 ,一个 N - 2 位长度计数器 ,一个 2 位长度计数器和 2 个一位计数器的总面积比定长 重复播种测试码生成电路中的一个 N 位长度计数器的面积多出 2 个寄存器的面积 ,所以考虑额外面积开 销时 ,只要考虑这多出的 2 个寄存器的面积 (一个寄存器等于 6 个门) ,再加上 (如下部分) 多路开关和 ROM 中控制位带来的额外面积开销. 二选一多路开关采用 CMOS 电路工艺 ,只要 3. 5 个二输入门即可实现. 这里假定 ,一个非门的硬件需 0. 5 个二输入门. 其中一个二输入与门可以由 4 个 MOS 管实现. 四选一多路开关的表达式为 : F = A — B — D1 + A B — D2 + A — BD3 + ABD4 ,其中一个三输入门可以由 2 个二 输入门实现 ,所以四选一多路开关一共需要 13 个二输入门实现. ROM 中的控制位面积的估算 :以可编程序只读存储器 ( PROM)为例 ,每个单元由一个 MOS 管和熔丝 组成. 保存熔丝表示存入的是“0”,熔断熔丝表示存入的是“1”. 由于对应每个种子有 3 个计数控制位 ,所以 对应每个种子需要 3 个 MOS 管 ,相当于 0. 75 个二输入门. 本文主要考虑测试码生成方法 ,没有具体分析该变长方法引起的测试响应分析模块中面积减少的比 例. 915 第 4 期 张建胜等 :变长重复播种测试码生成方法    © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 2  仿真结果与分析 变长重复播种测试码生成方法的模拟过程为 :对每个被测电路 ,由 Mentor Graphics 公司的 A TPG测 试码生成工具生成种子 ;将该种子提供给由 Verilog 文件写的线性反馈移位寄存器 (L FSR) ,由 L FSR 生成 该被测电路的伪随机测试码 ;再提供给 Mentor Graphics 的故障仿真工具 ,可以得出这些伪随机测试码中 哪些是可以截去的冗余伪随机测试码 ;通过控制变长计数器的控制端就可以截去这些冗余伪随机测试码. 对一个被测电路 ,从上面的模拟过程中可以得到总冗余伪随机测试码的个数. 结果是 ,和定长重复播种方 法比较时 ,使用本文变长重复播种测试码生成方法 ,总测试码个数减少的百分比. 为了更好的说明变长重复播种测试码生成方法的详细过程 ,并且验证该方法的有效性 ,使用 Mentor Graphics 公司的故障仿真工具给出了下面的模拟结果. 由于 C880 电路的模拟结果具有一定的代表性 ,表 1 给出了 C880 电路模拟的中间结果. 其中 f cv表示故障覆盖率 , f l 表示剩余故障数目 , f d 表示检测出的故 障数目 , v b 表示对应每个 seed 的测试码序列范围 , ve 表示有效的测试码序列范围 , v r 表示冗余伪测试码 范围 , l nt表示非全长序列的长度. 表 1  C880 电路的模拟结果 Tab. 1  The simulation results of C880 circuit seed n f cv/ % f l f d v b v e v r x i l nt ABC 初始值 96. 49 84 2 396 - 84 = 2 312   0~1 024   0~1 024 # 0 L 100 seed1 98. 25 42   84 - 42 = 42 1 024~2 048 1 024~2 048 # 0 L 100 seed2 98. 79 29   42 - 29 = 13 2 048~3 072 2 048~2 799 2 799~3 072 1 < L / 4 < L / 2 3 L / 4 110 seed3 99. 96 1   29 - 1 = 28 3 072~4 096 3 072~4 032 4 032~4 096 0 L 100 seed4 100 0    1 - 0 = 1 4 096~5 120 4 096~4 096 4 097~5 120 = L - 1 1 101 表 2  ISCAS85 和 ISCAS89 电路的基本信息 Tab. 2  The basic information of ISCAS85 and ICSAS89 benchmark circuits 电路 门/ 个 nfault nd2f n seed nvec nav C432 211 1 078 64 1 256 64. 00 C499 283 1 366 89 2 512 44. 50 C880 469 2 396 84 4 1 024 21. 00 C1355 627 3 366 155 4 512 38. 75 C1908 991 4 872 155 4 1 024 38. 75 C3540 1 778 9 343 517 13 2 048 39. 77 C5315 2 631 1 394 124 3 1 024 41. 33 C6288 2 480 14 492 7 1 64 7. 00 S27 21 78 4 2 64 2. 00 S349 211 964 42 3 256 10. 50 S1196 593 3 200 120 5 4 096 24. 00 S1238 574 3 222 113 8 4 096 14. 13 S1423 830 3 982 202 9 4 096 22. 44 S1488 721 4 158 76 3 1 024 25. 33   由表 1 可以看出 ,定长时 ,对于每个种子 ,产生的伪随机测试码序列的长度都等于 1 023 ,但是 ,检测出 的故障数目逐渐减少 ,分别为 2 312 ,42 ,13 ,28 ,1.其中由 seed2 ,seed3 和 seed4 生成的测试码序列中都存在 冗余伪随机测试码 ,并且冗余伪随机测试码序列都出现在每个种子生成的测试码序列的末端. 对于 seed4 , 只有种子检测出故障 ,由 seed4 生成的 1023 个伪随机测试码序列都是冗余的. 所以使用定长重复播种测试 码生成方法时 ,测试码序列的测试效率不高 ,冗余伪随机测试码序列比较多. 使用本文的变长重复播种测 试码生成方法时 ,可以通过控制变长计数器控制端 ABC 截去对应每个种子的冗余伪随机测试码序列 ,从 而减少测试施加时间. 可以计算 ,使用变长重复播种方法前 ,总测试码序列长度为 5 L = 5 120 ;使用变长重 复播种方法后 ,总测试码序列长度为 ( L + L + 3 L / 4 + L + 1) = 3 823 ,总测试码序列长度减少 24. 98 %. 同理 ,将变长重复播种测试码生成 方法应用于 ISCAS85 和 ISCAS89 电路. 结果见表 2 和表 3. 表 2 是 ISCAS85 和 ISCAS89 电路 的基本信息 ,其中 nfault表示故障数目 , nd2f表示难测故障数目 , n seed表示种子数 目 , nvec表示每个种子生成的伪随机测 试码序列数目 , nav表示由每个种子检测 的难测故障的平均数目. 表 3 给出的是使用定长测试方法和 变长重复播种方法的比较结果. 表 3 中 , f c 表示故障覆盖率 , n tot表 示测试码总数 ,ηtot表示总测试码数目减 少的比率 , n 表示非全长序列的个数 , s 表示增加的额外面积 (门) ,ηs 表示面积 025    复 旦 学 报 (自然科学版) 第 45 卷   © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 减少的比率. 对每个被测电路 , L 是每个种子产生的测试码数目. L 的大小由故障仿真确定. 确定准则为 : (a) 增加 长度 L 不能显著提高故障覆盖率 ; (b)种子个数适当 , 否则 ROM 面积开销太大. 以 C880 为例 ,如果 L 取 512 ,则经过仿真可知 ,一共需要 8 个种子 ;如果 L 取 1 024 ,需要 4 个种子 ;如果 L 取 2 048 ,一共也需要 4 个种子 ,所以此时冗余测试码更多 ,所以 L 最终确定为 1 024 . 非全长序列一共可以有 5 种长度. 如表 3 中的 C3540 电路 ,非全长序列长度 1 (3) + 3 L / 4 (2) + L / 4 (1) 表示有 3 个单个种子 1 长 ,2 个 3 L / 4 长 ,1 个 L / 4 长. 非全长序列个数 n 为 3 + 2 + 1 = 6 个. 表 3  变长重播种测试码生成方法对 ISCAS85 和 ISCAS89 电路的实验结果 Tab. 3  Results of the proposed method for ISCAS85 and ISCAS89 benchmark circuits 电路 f cv/ % 定长 变长 n tot/ 个 定长 变长 ηtot/ % n l nt s/ 门 ηs/ % C432 98. 79 98. 70 512 448 12. 50 1 3 L / 4 (1) 17. 5  8. 3 C499 99. 41 99. 39 1 536 897 41. 60 2 1 (1) + 3 L / 4 (1) 23  8. 13 C880 100 100 5 120 3 823 24. 98 2 1 (1) + 3 L / 4 (1) 24  5. 12 C1355 100 100 2 560 1 664 35. 00 3 L / 2 (2) + L / 4 (1) 24  3. 83 C1908 94. 27 94. 27 5 120 4 325 15. 00 3 3 L / 4 (3) 20  2. 02 C3540 87. 54 87. 54 28 672 18 947 33. 92 6 1 (3) + 3 L / 4 (2) + L / 4 (1) 29  1. 63 C5315 99. 58 99. 56 4 096 1 793 56. 23 3 1 (1) + 3 L / 4 (1) + L / 2 (1) 23  0. 87 C6288 99. 88 99. 88 128 96 25. 00 1 L / 2 (1) 23. 5  0. 95 S27 100 100 192 97 49. 48 2 1 (1) + L / 2 (1) 23 100. 09 S349 99. 80 99. 80 1 024 515 49. 70 3 1 (3) 24 11. 37 S1196 100 100 24 576 15 360 37. 50 4 L / 2 (1) + 3 L / 4 (1) + L / 4 (2) 24. 5  4. 13 S1238 97. 27 97. 27 36 864 18 435 49. 99 5 1 (3) + L / 4 (2) 26  4. 53 S1423 99. 17 99. 17 40 960 17 411 57. 49 9 L / 4 (3) + 1 (4) + 3 L / 4 (2) 26  3. 13 S1488 100 99. 89 4 096 3 328 18. 75 3 3 L / 4 (3) 23. 5  3. 26   表 3 中以大写 C 开头的是 ISCAS85 电路. 对所有 C 开头的电路 ,结果表明变长重复播种测试码生成 方法使总测试码数目平均减少 30. 52 % ,额外面积平均增加 3. 86 %. 8 个电路中 ,有 6 个电路的总测试码 数目减少大于或接近 25 %. 在这 6 个电路中 ,有 3 个电路规模大于等于 1778 门. 这表明该方法对大规模 电路有效. 表 3 中以大写 S 开头的是 ISCAS89 电路. 同样 ,将变长重复播种方法应用于 ISCAS89 电路. 结果表 明 ,对所有 S 开头的电路 ,该方案平均减少 43. 81 %的伪随机测试码 ,面积平均增加 22. 57 %. 如果不考虑 S27 (这个电路规模很小) ,面积平均增加 5. 28 %. 对电路 S1423 (830 门) ,测试码数目减少 57. 49 %. 综合考虑表 3 的结果 ,一共 14 个电路 ,总测试码数目平均减少 36. 22 % ,额外面积平均增加 11. 87 %. 如果不考虑 S27 ,面积平均增加 4. 41 %. 本文提出了一种变长重复播种测试码生成方法. 该方法的一个优点是在保持故障覆盖率基本不变 ,额 外面积开销也很小的同时 ,可以有效地截去冗余伪随机测试码 ,减少总测试码序列的数目 ,缩短了测试施 加时间 ,并且该方法的实现也比较简单. 本文分析了该方法的原理 ,给出了它的一个实现结构以及对应每 个种子的伪随机测试码序列长度 L 的确定准则 ,最后给出了该方法用于 ISCAS85 和 ISCAS89 电路的模 拟结果 ,验证了该方法的有效性. 使用该方法时 ,既可以针对一个 IP 核 ,设计相应的变长测试码生成器作 为 B IST 的测试码生成电路嵌入到该 IP 核内 ,截去冗余伪随机测试码 ,也可以在片上系统中使用该方法 设计的变长重复播种生成电路来测试不同的 IP 核. 因此 ,该方法在数字 IC 电路测试时具有较强的实用 性. 本文方法必须借助故障仿真工具 ,分析对应每一个种子 ,哪一段伪随机测试码序列是冗余的 ,设计时比 较耗时. 但是对于一个特定的被测电路 ,设计耗时所花费的成本是分摊到每个电路中的 ,该成本同该被测 电路产量的数目成反比 ,所以本文的变长重复播种测试码生成方法具有广阔的应用前景. 参考文献 : [1 ]  Li Lijian ,Min Yinghua. An efficient BIST using L FSR2ROM architecture [ EB/ OL ] . (2000212204) [ 20052102 125 第 4 期 张建胜等 :变长重复播种测试码生成方法    © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net 12 ] . http : ∥ieeexplore. ieee. org/ iel5/ 7181/ 19338/ 00893645.pdf . [2 ]  Promeranz I ,Reddy S M. 32Weight pseudo2random test generation based on a deterministic test set for combina2 tional and sequential circuits [J ] . I EEE T rans On CA D ,1998 ,12 (6) :105021058. [3 ]  Peteras S , Pajski J . Cube2contained random patterns and their application to the complete testing of synthesized multi2level circuits [ EB/ OL ] . ( 1991210226 ) [ 2005210212 ] . http : ∥ieeexplore. ieee. org/ iel2/ 3980/ 11469/ 00519709. pdf . [4 ]  Dufaza C , Viallon H , Chevalier C. BIST hardware generator for mixed test scheme [ EB/ OL ] . (1995203206) [2005210212 ] . http : ∥ieeexplore. ieee. org/ iel2/ 3300/ 9922/ 00470361.pdf . [5 ]  雷绍充 ,邵志标 ,梁  峰 . VL SI 测试方法学和可测性设计[ M ] . 北京 :电子工业出版社 ,2004. Generation of Reseeding Test Pattern with Variable Length ZHANG Jian2sheng ,HUANG Wei2kang ,TANG Pu2shan ( A S IC & System S tate Key L aboratory , Fudan U niversity , S hanghai 200433 , China) Abstract : A generation of reseeding test pattern with variable lengths of test sequences is proposed. It uses reseeding tech2 nology and the pseudo2random test sequences generated by seeds may have different lengths. Every seed can generate pseu2 do2random test sequences with variable length. The lengths generated are whole length L , L / 2 , 3 L / 4 , L / 4 and 1. This technology with variable length can cut redundant test vectors effectively ,and reduces the test application time. The experi2 ments on ISCAS85 and ISCAS89 benchmark circuits demonstrate that the scheme can reduce 36. 22 % of test application time in average (up to 57. 49 % at most) ,while area penalty increases only 4. 41 % in average. Keywords : semiconductor technology ; DFT ; BIST ; L FSR 225    复 旦 学 报 (自然科学版) 第 45 卷   © 1994-2006 China Academic Journal Electronic Publishing House. All rights reserved. http://www.cnki.net
本文档为【复旦大学(伪随机测试)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_906974
暂无简介~
格式:pdf
大小:131KB
软件:PDF阅读器
页数:6
分类:互联网
上传时间:2011-08-24
浏览量:32