首页 CPLD实现直流伺服电机PWM发生器

CPLD实现直流伺服电机PWM发生器

举报
开通vip

CPLD实现直流伺服电机PWM发生器 电测与仪表 Electrical Measurement & Instrumentation 总第 47卷 第 531期 2010年 第 03期 Vol.47 No.531 Mar. 2010 (b)输入输出电压波形 图 1 PWM调速控制原理及电压波形 Fig.1 PWM speed control principle and voltage waveform 0 引 言 直流伺服电机具有响应快、调速范围宽等特点, 因而常常用于实现精密调速和位置控制的随动系统 中,在工业、国防和民用等领域得到广泛...

CPLD实现直流伺服电机PWM发生器
电测与仪表 Electrical Measurement & Instrumentation 总第 47卷 第 531期 2010年 第 03期 Vol.47 No.531 Mar. 2010 (b)输入输出电压波形 图 1 PWM调速控制原理及电压波形 Fig.1 PWM speed control principle and voltage waveform 0 引 言 直流伺服电机具有响应快、调速范围宽等特点, 因而常常用于实现精密调速和位置控制的随动系统 中,在工业、国防和民用等领域得到广泛应用。控制系 统的性能取决于控制系统所能达到的运算速度和控 制精度,CPLD有大量的门和触发器构成,可将复杂 的数字电路集成在一个芯片中,提高了系统的集成度 和可靠性[1],由于其运行时钟可达到几十兆甚至上百 兆赫兹,能够完成高速计数,误差小,可控制在一个时 钟周期内,从而提高了控制精度。 1 脉宽调制发生器调速原理 对电机的驱动采用开关驱动方式,通过脉宽调制 PWM控制电机的驱动电压,实现调速[2,3]。如图1所 示,功率管MOSFET的栅极输入 Ui为高电平时,开关 管导通,直流电机电枢绕组两端有电压 Us,t1秒后,栅 极输入变为低电平,开关管截止,电机电枢两端电压 为0V。t2秒后,栅极输入重新变为高电平,重复前面 过程。 CPLD实现直流伺服电机 PWM发生器 崔玉娟,吕运朋,李超 (郑州大学 物理 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 学院,郑州 450001) 摘要:利用CPLD的可编程并行处理模块特性提出了一种实现直流伺服电机控制的PWM发生器 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,可 产生精密的周期、占空比均可调的高速脉冲,提高了电机转速的控制精度,该方案具有设计灵活、成本低、现场 可编程的优点。 关键词:CPLD;PWM发生器;占空比;高速 中图分类号:TM301 文献标识码:B 文章编号:1001-1390(2010)03-0073-04 Realization for PWM Generator of DC Servo Motor on CPLD CUIYu-juan,LVYun-peng,LIChao (Physics&EngineeringofZhengzhouUniversity,Zhengzhou450001,China) Abstract: AdesignofPWMgeneratorofDCServoMotorbasedontheprogrammableparallelprocessingmodulesfeature ofCPLDisintroducedinthispaper.Thesystemcangeneratethehigh-speedpulsebyadjustingfrequencyanddutycycle which increases the control precision of motor. This design has the advantages of flexible design, low cost, field-programmable. Key words:CPLD,PWMgenerator,dutycycle,high-speed M U � U � (a)原理图 Us o t U � o t U � U �T t � t � 73- - 电测与仪表 Electrical Measurement & Instrumentation 总第 47卷 第 531期 2010年 第 03期 Vol.47 No.531 Mar. 2010 ByteBlaster�� ���� CPU�� �� �� JTAG�� SPI��� � ��� �� � � � � � PWM �� � � ���� �� � !" #$�� %&’� (��� H)*+,- ./0�� � !"�� %&1234 EPM570T100C8N 电机电枢绕组两端的电压平均值 Uo为: Uo=t1Us+0t1+t2 =t1T Us=σUs 式中 α为占空比,α=t1/T。 当电源 Us不变的情况下,电枢的端电压平均值 Uo取决于占空比的大小,改变占空比的值就可以改 变端电压平均值,从而实现调速的目的,这也是PWM 调速的原理。 2 系统总体结构设计 整个系统以 CPLD为核心,配以外围电路: ByteBlaster数据变换电路;CPU处理器,实现算法运 算及其它控制;时钟产生电路采用25MHz有源晶振, 信号质量好;H型双极性功率驱动电路实现电机的可 逆控制;电流 检测 工程第三方检测合同工程防雷检测合同植筋拉拔检测方案传感器技术课后答案检测机构通用要求培训 电路;光栅位移传感器,对位置进行 检测。系统总体框图如图2所示[4]。 CPLD选用 Altera公司的 EPM570T100C5N芯 片,有570个逻辑单元,100个引脚,满足系统设计要 求。CPLD除了一些I/O口直接与CPU连接外,还可 以通过SPI模块[5]实现与CPU间的通信,CPU写控制 字来控制PWM波发生器的启/停,根据时钟及比较 寄存器中的值来调节周期和占空比。产生的PWM波 经过死区控制模块之后输出。过流保护检测模块当检 测到电流过大时,停止PWM波的输出,从而保护电 机免受损伤。光栅移位传感器将两路光栅信号输入 CPLD,通过4倍频计数后通过I/0口输入给CPU,对 位置进行检测。 2.1 SPI通信 SPI总线系统是一种高速的,全双工的同步串行 外设接口,该接口使用四根线:串行时钟线(SCK)、主 机输入/从机输出数据线MISO、主机输出/从机输入 数据线MOSI和低电平有效的从机选择线SS,从而节 约了芯片的管脚,为PCB在布局上节约了空间。由于 片选信号需要预先设定,这就允许在同一总线上连接 多个SPI设备。在CPLD芯片上编写一个译码器模 块,利用CPLD的可编程模块特性,可以很简单地扩 展多个PWM发生器,实现多轴控制。 2.2 PWM发生器 PWM波的产生通过控制字与数据来控制[6]。主要 控制参数有:使能PWM波发生模块、计数模式、死区 使能、PWM反相、死区控制上升沿延迟时间、死区控 制下降沿延迟时间、a1比较值、a2比较值、脉冲周期、 高低电平占空比等。CPLD可以预先设定这些值,也 可以通过SPI通信由CPU处理器设置这些参数,灵 活调节PWM发生器的周期、占空比。改变占空比的 方法有三种:定宽调频法,该法是固定 t1的值,改变 t2,脉冲周期随之改变,在程序中可以通过改变 a2比 较值和脉冲周期来实现;调宽调频法,该法固定 t2的 值,改变 t1,脉冲周期随之改变,在程序中通过改变控 制参数比较值 a1和脉冲周期来实现;定频调宽法,该 法是固定脉冲周期,同时改变 t1和 t2的值,在程序中 通过固定控制参数脉冲周期的值而改变比较值 a1和 a2来实现。 具体实现逻辑设计如图3所示,它由三个模块组 成。16位预载计数器,计数模块有四种计数模式:增 计数、减计数、先增后减计数、先减后增计数,可任选。 时钟频率为 25MHz,通过 16位计数器后,可获得的 脉冲最低频率为25MHz/216=381Hz,最高位25MHz。 可以根据需要,调节计数器的数值,获得电机工作频 率范围。 16位比较器,计数使能信号有效后,开始计数, 并与比较器的比较值比较,产生原始的PWM_a_o波。 双极性H桥驱动电路由于功率开关管自身有开 关延迟,并且“开”和“关”的延迟时间不同,所以在同一 桥臂上的两个开关管容易出现直通现象,引起短路,或 图 2 系统的总体框图 Fig.2 Overall systerm block diagram 74- - 电测与仪表 Electrical Measurement & Instrumentation 总第 47卷 第 531期 2010年 第 03期 Vol.47 No.531 Mar. 2010 者由于产生的两路驱动脉冲控制不合理,也会引起短 路。为了确保同一桥臂上的两个开关管始终处于一个 开状态,一个关状态,在CPLD上增加了死区控制器, 通过设置死区延迟时间,来调节死区宽度。原始波经过 死区控制器实现延时,产生两路脉冲信号 PWMA、 PWMB,连接驱动电路的左桥臂。将这两路信号反相后 连接驱动电路的右桥臂,从而驱动电机工作。 3 功率驱动电路 后端功率驱动电路如图4所示,CPLD输出的四 路PWM信号经功率半导体驱动芯片IR2103后接H 桥,功率开关管采用FDS5672。 这是H桥的一个桥臂驱动电路,另一个桥臂与 此对称。根据IR2103的工作时序,输入F_PWM11 (F_PWMA)与 F_PWM12(F_PWMB)同为高电平时, IR2103的HO端输出高电平,LO为低电平。当输入同 为低电平时,HO端输出低电平,LO端输出高电平。当 输入一个为高电平,一个为低电平时,输出都为低电 平。P101为直流伺服电机接口。由于可编程逻辑器件 具有现场可编程的特点,在硬件设计上加上JTAG接 口,可灵活方便的调试电机运转。 4 过流保护电路 不管电机是正向还是反向工作,图5中取样电阻 上的电压值都为正值,通过采样电压值判断过流情 况。图5中的I1测试点可以测出采样电压经过放大 后的电压值,将该值与参考电压比较,若超过设定值, 则自动封锁输出,保护整个电路系统。保护电路的工 作原理是电流正常时,OC1输出高电平,过流时OC1 输出低电平,OC1端接 CPLD芯片的一个 I/O口,检 测该输入口的电平变化。当检测到低电平且持续一定 时间,则触发PWM波禁能信号。 5 光栅位移检测传感器 相位相差90°的两路光栅信号,输入CPLD的光 栅倍频检测模块,经过四倍频后,对其进行计数[7]。将 计数结果输入给CPU,可用于进行位置比较,也可算 出电机的转速。 6 PWM发生器软件仿真波形 利用QuartusII软件对程序模块时序仿真,经延时 (延时时间可调)、反相后,输出四路脉冲信号,见图6。 7 结 论 利用CPLD设计的脉宽调制发生器,具有现场可 图 3 CPLD逻辑设计模块 Fig.3 CPLD logic design module Clk En ResetLoad_value[15:0] Mode_cnt Cnt_value[15:0] Clk Clk Reset Cnt_value_i[15:0] Cmp_value_a1[15:0] Cmp_value_a2[15:0] PWM_a_o Reset Clk Reset Clk Reset PWM_a_iPWM_d_a PWM_d_b 16������ ��� ��� �� � ���� ���� ��� ������ ��A� ��B� �� �PWMA �� �PWMB 图 4 双极性 H桥功率驱动电路 Fig.4 Bipolar H-bridge power driver circuit 电机接口 左桥臂 取样电阻 75- - 电测与仪表 Electrical Measurement & Instrumentation 总第 47卷 第 531期 2010年 第 03期 Vol.47 No.531 Mar. 2010 编程、成本低、体积小等优点,应用于工业中直流伺服 控制系统,控制参数调节灵活,可实现高速脉冲,提高 了转速和位置的控制精度,并且便于系统升级。 参 考 文 献 [1]李深磊.基于ARM_CPLD的高速运动控制器的开发和应用[D].湖 南科技大学硕士学位论文,2008. [2]王晓明.电动机的单片机控制[M].北京:北京航空航天大学出版社, 2002. [3] Xiaogang Ruan, Jianwei Zhao. The PWMServo and LQR Control of a Dual-wheel Upright Self-balancing Robot [A]. 2008 International SymposiumsonInformationProcessing,2008. [4]王文强.面向数控的伺服电机控制器设计与实现[D].中国科学院沈 阳计算技术研究所硕士学位论文,2008. [5]沈安文,杜宇峰,张侨.基于CPLD的数字交流伺服系统接口设计[J]. 微电机,2006,39(3):54-56. SHENAn-wen,DUYu-feng,ZHANGQiao.Adesignofinterfacein digital ACservosystembasedonCPLD[J].MicromotorsServoTechnique,2006,39 (3):54-56. [6]罗小巧,廖小芳.基于CPLD的PWM信号发生器设计[J].电子测量 技术,2007,30(12):87-90. LOUXiao-qiao,LIAOXiao-fang.DesignofPWMsignalgeneratorbasedon CPLD[J].ElectronicMeasurementTechnology,2007,30(12):87-90. [7] Wei Ji, Bo Xu, Dean Zhao. Design and Application of Digital Servo Controller Module Based on DSP and CPLD [A]. Proceedings of the 7th WorldCongressonIntelligentControlandAutomation,Chongqing,2008. 作者简介: 崔玉娟(1986-),女,硕士研究生,从事宽幅喷墨写真机的小车板驱动研 究。Email:cuiyujuan_1985@126.com 吕运朋(1956-),男,硕士生导师,从事嵌入式系统设计、信息采集与信 息处理技术。 李超(1985-),男,硕士研究生,从事宽幅喷墨写真机的主板控制研究。 收稿日期:2009-10-22 (杨长江编发) 图 5 过流保护电路 Fig.5 Over-current protection circuit 图 6 四路驱动脉冲仿真图 Fig.6 Four driving pulse simulation diagram ������� � ������ � 采样电压 比较电路 放大电路 76- -
本文档为【CPLD实现直流伺服电机PWM发生器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_032016
暂无简介~
格式:pdf
大小:466KB
软件:PDF阅读器
页数:4
分类:互联网
上传时间:2011-07-24
浏览量:22