首页 VHDL

VHDL

举报
开通vip

VHDLnullVHDL培训教程VHDL培训教程欢迎参加VHDL培训VHDL培训教程VHDL培训教程第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计 第一讲、VHDL简介及其结构第一讲、VHDL简介及其结构通过本课的学习您可以了解以下几点 1、VHDL 的基本概念 2、VHDL的基本结构 3、VHDL的设计初步 什么是VHDL什么是VHDLVHDL- VHSIC Hardware Decription Language 其中V...

VHDL
nullVHDL培训教程VHDL培训教程欢迎参加VHDL培训VHDL培训教程VHDL培训教程第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 第一讲、VHDL简介及其结构第一讲、VHDL简介及其结构通过本课的学习您可以了解以下几点 1、VHDL 的基本概念 2、VHDL的基本结构 3、VHDL的设计初步 什么是VHDL什么是VHDLVHDL- VHSIC Hardware Decription Language 其中VHSIC- Very High Speed Integrated Circuit 电子设计自动化的关键技术之一是要求用形式化 方法来描述硬件系统。VHDL适应了这种要求。VHDL和Verilog HDLVHDL和Verilog HDLVerilog HDL: 另一种硬件描述语言,由Verilog 公司开发,1995年成为IEEE标准。 优点:简单、易学易用 缺点:功能不如VHDL强大,仿真工具少 VHDL : 1987年成为IEEE标准 优点:功能强大、通用性强。 缺点:难学VHDL的发展历史VHDL的发展历史起源于八十年代,由美国国防部开发 两个标准: 1、1987年的 IEEE 1076(VHDL87) 2、1993年进行了修正(VHDL93)VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用电子系统的设计模块VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用电子系统设计的描述等级 1、行为级 2、RTL级(Register transfer level) 3、逻辑门级 4、版图级 用VHDL可以描述以上四个等级 VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用系统设计的描述等级-制版级VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用系统设计的描述等级-逻辑门级VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用系统设计的描述等级-RTL级VHDL在电子系统设计中的应用VHDL在电子系统设计中的应用系统设计的描述等级-行为级如何使用VHDL描述硬件实体如何使用VHDL描述硬件实体nulllibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity count is port ( clock,reset: in STD_LOGIC; dataout: out STD_LOGIC_VECTOR (3 downto 0) ); end count; architecture behaviorl of count is signal databuffer: STD_LOGIC_VECTOR (3 downto 0); begin dataout<=databuffer; process(clock,reset) begin if (reset='1') then databuffer<="0000"; elsif (clock'event and clock='1') then if databuffer="1000" then databuffer<="0000"; else databuffer<=databuffer+'1'; end if; end if; end process; end behavioral;VHDL结构要点VHDL结构要点1、ENTITY(实体) 格式: Entity 实体名 IS [类属参数说明] [端口说明] End Entity; 其中端口说明格式为: PORT(端口名1,端口名N:方向:类型) 其中方向有: IN , OUT, INOUT, BUFFER, LINKAGE VHDL结构要点VHDL结构要点注意 简单地说 In 不可以出现在<= 或 : = 的左边 out不可以出现在<= 或 : = 的右边 buffer可以出现在<= 或 : = 的两边In 信号只能被引用,不能被赋值 out 信号只能被赋值,不能被引用 buffer 信号可以被引用,也可以被赋值VHDL结构要点VHDL结构要点例子 (HalfAdd) 其内部结构将由Architecture来描述VHDL结构要点VHDL结构要点2、Arcthitecture(构造体) 格式: Arcthitecture 构造体名 of 实体名 is [定义语句] 内部信号、常数、元件、数据类型、 函数 excel方差函数excelsd函数已知函数     2 f x m x mx m      2 1 4 2拉格朗日函数pdf函数公式下载 等的定义 begin [并行处理语句和block、process、function、procedure] end 构造体名; VHDL结构要点VHDL结构要点例子(HalfAdd) VHDL结构要点VHDL结构要点例子 (FullAdd) (学习如何调用现有模块) VHDL结构要点VHDL结构要点实例(FullAdd)-entity VHDL结构要点VHDL结构要点实例(FullAdd)-architecture nullVHDL中的设计单元VHDL中的设计单元 除了entity(实体)和architecture(构造体)外还有 另外三个可以独立进行编译的设计单元 Package(包集合)属于库结构的一个层次,存放信号定义、常数定义、数据类型、元件语句、函数定义和过程定义。 Package Body 具有独立对端口(port)的package configuration(配置)描述层与层之间的连接关系以及实体与构造体之间关系。VHDL中的设计单元VHDL中的设计单元VHDL中的设计单元(可以独立编译)Library 库的概念Library 库的概念STD库 --VHDL的标准库 IEEE库 -- VHDL的标准库的扩展 面向ASIC的库 --不同的工艺 不同公司自定义的库 普通用户自己的库 库: 数据的集合。内含各类包定义、实体、构造体等Library 库的概念Library 库的概念用户自己的库 当您的VHDL文件被编译后,编译的结果储存在特定的目录下,这个目录的逻辑名称即Library,此目录下的 内容 财务内部控制制度的内容财务内部控制制度的内容人员招聘与配置的内容项目成本控制的内容消防安全演练内容 亦即是这个Library的内容。Package 包的概念Package 包的概念Package(包)VHDL中的结构关系VHDL中的结构关系结构关系VHDL简介及其结构VHDL简介及其结构本讲结束 下一讲: VHDL中的对象、操作符、数据类型第二讲、VHDL对象、操作符、数据类型第二讲、VHDL对象、操作符、数据类型通过本课的学习您可以了解以下几点 1、VHDL 的基本类型 2、如何在VHDL中定义类型 3、VHDL 的信号定义 4、如何在VHDL中对信号赋值 5、VHDL中的操作符 VHDL对象、操作符、数据类型VHDL对象、操作符、数据类型对象object 对客观实体的抽象和概括 VHDL中的对象有: 1、Constant(常量)在程序中不可以被赋值 2、Variable(变量)在程序中可以被赋值(用“: =”),赋值后立即变化为新值。 3、Signal(信号)在程序中可以被赋值(用“<=”) ,但不立即更新,当进程挂起后,才开始更新。 VHDL对象、操作符、数据类型VHDL对象、操作符、数据类型VHDL中的对象使用: variable x,y:integer;--定义了整数型的变量对象x,y constant Vcc:real;--定义了实数型的常量对象Vcc signal clk,reset:bit;--定义了位类型的信号对象clk,reset VHDL中的对象使用VHDL中的对象使用注意 1、variable只能定义在process和subprogram(包括function和procedure)中,不可定以在其外部。 2、signal不能定义在process和subprogram(包括function和procedure)中,只可定以在其外部。 VHDL对象、操作符、数据类型VHDL对象、操作符、数据类型对象的属性 类似于其它面向对象的编程语言如VB、VC、DELPHI 用法格式:对象 ’ 属性 例 子:clk’event --表明信号clk的event属性 常用的属性: Signal 对象的常用属性有: event : 返回boolean值,信号发生变化时返回true last_value:返回信号发生此次变化前的值 last_event:返回上一次信号发生变化到现在变化的间隔时间 VHDL对象、操作符、数据类型VHDL对象、操作符、数据类型Signal 对象的常用属性有:接上页 delayed[(时延值)]: 使信号产生固定时间的延时并返回 stable[(时延值)]: 返回boolean, 信号在 规定 关于下班后关闭电源的规定党章中关于入党时间的规定公务员考核规定下载规定办法文件下载宁波关于闷顶的规定 时间内没有变化返回true transaction: 返回bit类型,信号每发生一次变化,返回值翻转一次例子:A<=B’delayed(10 ns); --B延时10ns后赋给A; if(B’Stable(10 ns)); --判断B在10ns中是否发生变化VHDL对象、操作符、数据类型VHDL对象、操作符、数据类型信号的event和last_value属性经常用来确定信号的边沿 属性应用例如: 判断clk的上升沿 if ( (clk’event)and (clk=‘1’) and(clk’last_value=‘0’)) then 判断clk的下降沿 if ( (clk’event)and (clk=‘0’) and(clk’last_value=‘1’)) thenVHDL 的基本类型VHDL 的基本类型1、bit(位): `0` 和`1` 2、bit-Vector(位矢量): 例如:``00110`` 3、Boolean “ ture”和“false” 4、time 例如:1 us、100 ms,3 s 5、character 例如:‘a’、’n’、’1’、 ’0’ 6、string 例如:“sdfsd”、”my design” 7、integer 32位例如:1、234、-2134234 8、real 范围-1.0E38~+1.0E38 例如:1.0、2.834、3.14、0.0VHDL 的基本类型VHDL 的基本类型9、natural 自然数 和 positive 正整数 10、senverity level (常和assert语句配合使用) 包含有:note、warning、error、failure 以上十种类型是VHDL中的标准类型,在编程中可以直接使用。使用这十种以外的类型,需要自行定义或指明所引用的Library(库)和Package(包)集合VHDL 的基本类型VHDL 的基本类型例子一 VHDL 的基本类型和赋值VHDL 的基本类型和赋值例子二VHDL 的基本类型和赋值VHDL 的基本类型和赋值例子三 例子中信号Z有两个驱动A和B;Z必须定义为一种新的数据类型,否则Z将无法决定取值,语句视为非法。VHDL 的基本类型和赋值VHDL 的基本类型和赋值例子四VHDL 的基本类型和赋值VHDL 的基本类型和赋值例子五要点:赋值语句中的方向应和声明中的方向一样VHDL 的基本类型和赋值VHDL 的基本类型和赋值连接操作符---使用&VHDL 的基本类型和赋值VHDL 的基本类型和赋值集合操作---使用()VHDL 的基本类型和赋值VHDL 的基本类型和赋值集合操作---采用序号VHDL 的基本类型和赋值VHDL 的基本类型和赋值集合操作--采用others在VHDL中定义自己的类型在VHDL中定义自己的类型通用格式 TYPE 类型名 IS 数据类型定义 用户可以定义的数据类型 枚举类型enumberated、整数型integer、 实数型real、数组类型array、 纪录类型record、时间类型time、 文件类型file、存取类型access在VHDL中定义自己的类型在VHDL中定义自己的类型枚举类型enumberated 格式 type 数据类型名 is (元素,元素…...); 例子 type week is (sun,mon,tue,thu,fri,sat); type std_logic is (‘1’,’0’,’x’,’z’); 在VHDL中定义自己的类型在VHDL中定义自己的类型整数类integer和实数类real 格式 type 数据类型名 is 数据类型定义 约束范围; 例子 type week is integer range 1 to 7; type current is real range -1E4 to 1E4 在VHDL中定义自己的类型在VHDL中定义自己的类型数组类型array 格式 type 数据类型名 is array 范围 of 元数据类型名 例子 type week is array (1 to 7) of integer; type deweek is array (1 to 7) of week; 在VHDL中定义自己的类型在VHDL中定义自己的类型时间类型time 格式 type 数据类型名 is 范围 units 基本单位; 单位; end units 在VHDL中定义自己的类型在VHDL中定义自己的类型时间类型例子 type time is range -1E18 to 1E18 units us; ms=1000 us; sec=1000 ms; min=60 sec; end units注意:引用时间时,有的编译器要求量 与单位 之间应有一个空格如:1 ns;不能写为1ns;在VHDL中定义自己的类型在VHDL中定义自己的类型纪录类型record 格式 type 数据类型名 is recoerd 元素名:数据类型名; 元素名:数据类型名; …. end record; 在VHDL中定义自己的类型在VHDL中定义自己的类型纪录类型的例子 type order is record id:integer; date:string; security:boolean; end record; 引用:signal flag:boolean; signal order1:order; order1<=(3423,”1999/07/07”,true); flag<=order1.security; IEEE 1164中定义的类型IEEE 1164中定义的类型std_ulogic 是对位(bit)类型的扩展,只允许一个驱动源 IEEE 1164中定义的类型IEEE 1164中定义的类型Std_logic同std_ulogic 一样有九个状态,允许一个或多个驱动源IEEE 1164中定义的类型IEEE 1164中定义的类型Std_unlogic_vector和std_logic_vectorIEEE 1164中定义的类型IEEE 1164中定义的类型Std_unlogic、std_ulogic_vector std_logic_vector和 std_unlogic_vector 类型 均定义在package(包) standard_logic_1164中 在使用这四种类形时应加以说明, 例如:library ieee; use ieee.std_logic_1164.all; 注: standard_logic_1164位于IEEE库中类型使用例子类型使用例子例子一(声明使用的库和包)类型使用例子类型使用例子例子二 std_ulogic 和std_logic的区别类型使用例子类型使用例子练习一: 下面那一个是正确的3VHDL中的操作符VHDL中的操作符分类 1、逻辑操作符 2、关系操作符 3、数学运算符VHDL中的操作符VHDL中的操作符1、逻辑操作符有:VHDL中的操作符VHDL中的操作符逻辑操作符的应用类型VHDL中的操作符VHDL中的操作符逻辑操作符的应用例子VHDL中的操作符VHDL中的操作符2、关系运算符有VHDL中的操作符VHDL中的操作符关系运算符的应用ARRAY(数组)没有数字概念,数组“111”不等于7VHDL中的操作符VHDL中的操作符3、数学运算符注意:上述运算符应用于 integer,real,time 类型,不能用于vector(如果希望用于vector,可以使用库IEEE的std_logic_unsigned包,它对算术运算符进行了扩展)VHDL中的操作符VHDL中的操作符VHDL中的操作符应用要点 1、VHDL属于强类型,不同类型之间不能进行运算和赋值,可以进行数据类型转换 2、vector不表示number 3、array 不表示number VHDL中的操作符VHDL中的操作符本讲结束 下一讲: VHDL中的控制语句及模块第三讲VHDL中的控制语句及模块第三讲VHDL中的控制语句及模块通过本讲您将会学到 1、Block的编写 2、Process的编写 3、function 和 procedure的编写 4、VHDL中的流程控制语句的书写 VHDL中的控制语句及模块VHDL中的控制语句及模块回顾第一讲的内容VHDL中的控制语句及模块VHDL中的控制语句及模块基本概念 1、并行处理(concurrent) 语句的执行与书写顺序无关,并行块内的语句时同时执行的 2、顺序处理(sequential) 语句的执行按书写的先后次序,从前到后顺序执行。这种方式和其他普通编程语言(如c,pascal)是一样的。VHDL中的控制语句及模块VHDL中的控制语句及模块Architecture 中的语句及子模块之间是并行处理的 子模块block中的语句是并行处理的 子模块process中的语句是顺序处理的 子模块subprogram中的function和procedure是顺序处理的 VHDL中的architectureVHDL中的architecture Arcthitecture(构造体)的格式为:(第一讲) Arcthitecture 构造体名 of 实体名 is [定义语句] 内部信号、常数、元件、数据类型、函数等的定义 begin [并行处理语句和block、process、function、procedure] end 构造体名; Architecture中的BlockArchitecture中的BlockBlock 格式 块名: BLOCK [定义语句] begin [并行处理语句concurrent statement] end block 块名 Architecture中的BlockArchitecture中的Block条件Block 格式 块名: BLOCK [(布尔表达式)] [定义语句] begin [并行处理语句concurrent statement [信号]<= guarded [信号,延时] ; end block 块名Architecture中的BlockArchitecture中的BlockBlock 例子 myblock1: block(clk=‘1’) signal:qin:bit:=‘0’; begin qout<= guarded qin ; end block myblock1 myblock2: block begin qout<=qin; end block myblock2 Architecture中的processArchitecture中的processProcess 格式 [进程名:] process [(触发信号列表)] [定义语句;] begin [串行处理语句sequential statement;] end processArchitecture中的processArchitecture中的processprocess例子 exp1: process (clk,qin) variable:qin:bit:=‘0’; begin qout<=qin; end processexp2: process begin wait on clk,qin; qout<=qin; end process nullprocess例子-值的更新分析: 当A、B、C、D中任一信号发生变化时,进程将开始执行,当执行 Z <= A and B 后, Z 的值不会立即变化 ;同理执行 Z <= C and D 后Z 的值也不会立即变化。当执行end process后, Z 的值才开始更新,同时系统挂起开始等待敏感信号。Architecture中的processArchitecture中的processProcess中敏感信号列表的普遍原则是: 在process中,其值被引用的信号应当出现在敏感信号列表中例子; 二选一的选择器:A、B为输入信号;SEL为选路信号;Z为输出信号;null不符和设计要求Architecture中的subprogramArchitecture中的subprogramFunction(函数) 格式: function 函数名(参数1,参数2 …...) [定义语句] return 数据类型名 is [定义语句] begin [顺序执行语句] return [返回变量名] end 函数名 Architecture中的subprogramArchitecture中的subprogramFunction 例子 function max(a,b:bit) return boolean is variable :flag:boolean; begin if (a=b)then flag<=true; end if return flag; end maxFunction 中的参数不用说明 方向(因为只有一种方向in) Architecture中的subprogramprocedure(过程) 格式: procedure 过程名(参数1,参数2 …...)is [定义语句] begin [顺序执行语句] end 过程名 Architecture中的subprogramArchitecture中的subprogramArchitecture中的subprogramProcedure例子 procedure max(a,b:in bit; flag:out boolean)is begin if (a=b)then flag<=true; end if end max; 顺序执行语句sequential statement顺序执行语句sequential statementWait语句 assert语句 If 语句 case语句 for loop语句 while 语句顺序执行语句sequential statement顺序执行语句sequential statementWait语句 书写格式 wait;--无限等待 wait on [信号列表] --等待信号变化 wait until [条件]; --等待条件满足 wait for [时间值]; --等待时间到 功能 wait语句使系统暂时挂起 (等同于end process),此时,信号值开始更新。条件满足后,系统将继续运行 。顺序执行语句sequential statement顺序执行语句sequential statementWait语句例子 process(a,b) begin y<=a and b; end process process begin wait on a,b; y<=a and b; end process等同于process(a,b) begin 错误 如果process中已有敏感信号 wait on a,b; 进程中不能使用wait 语句 y<=a and b; end process顺序执行语句sequential statement顺序执行语句sequential statementWait语句例子 如果process中没有敏感信号列表,其进程中也没 有wait 语句,则process中的程序代码循环执行process begin clk<=not clk after 50 ns ; end process 功能:产生频率为100 ns的clk信号顺序执行语句sequential statement顺序执行语句sequential statementAssert语句格式 assert 条件 [report 输出信息] [severity] 说明:条件为true 时执行下一条语句,为false 时输出错误信息和错误的严重级别 例子 …. assert(sum=100)report “sum /=100” severity error; next statement …...顺序执行语句sequential statement顺序执行语句sequential statementIf 语句格式 if 条件 then [顺序执行语句] [else] [顺序执行语句] end if if 条件 then [顺序执行语句] [elsif] [顺序执行语句] [elsif] [顺序执行语句] ….. [else] end if顺序执行语句sequential statement顺序执行语句sequential statementIf 语句例子顺序执行语句sequential statement顺序执行语句sequential statementCase 语句格式Case 表达式 is when 条件表达式=> 顺序处理语句 when 条件表达式=> 顺序处理语句 ……. when others=> 顺序处理语句 end case 原则 : 1、完全性:表达式所有可能的值都必须说明,可以用 others 2、唯一性:相同表达式的值只能说明一次顺序执行语句sequential statement顺序执行语句sequential statementCase 语句例子,条件表达式可以有多种形式顺序执行语句sequential statement顺序执行语句sequential statementCase 语句例子顺序执行语句sequential statement顺序执行语句sequential statementFor loop 语句格式 For 循环变量 in 范围 loop [顺序处理语句] end loop注意 : 循环变量不需要定义(声明);例子中 i 不需要定义For loop 语句例子For i in 1 to 10 loop sum=sum+1; end loop顺序执行语句sequential statement顺序执行语句sequential statement在loop语句中可以用next来跳出本次 循环,也可以用exit 来结束整个循环状态 next 格式:next [标号] [when 条件]; exit 格式: exit [标号] [when 条件];For i in 1 to 10 loop sum=sum+1; next when sum=100; end loopFor i in 1 to 10 loop sum=sum+1; exit when sum=100; end loop顺序执行语句sequential statement顺序执行语句sequential statementWhile 语句格式while 条件 loop [顺序处理语句] end loopWhile i<10 loop sum=sum+1; i=i+1; end loopWhile 语句例子并行处理语句concurrent statement并行处理语句concurrent statement1、信号赋值操作 2、带条件的信号赋值语句 3、带选择的信号赋值语句并行处理语句concurrent statement并行处理语句concurrent statement信号赋值操作 符号“<=”进行信号赋值操作的, 它可以用在顺序执行语句中, 也可以用在并行处理语句中 注意 1、用在并行处理语句中时,符号<=右边的值是此条语句的敏感信号,即符号<=右边的值发生变化就会重新激发此条赋值语句,也即符号<=右边的值不变化时,此条赋值语句就不会执行。如果符号<=右边是常数则赋值语句一直执行。 2、用在顺序执行语句中时,没有以上说法。 并行处理语句concurrent statement并行处理语句concurrent statement赋值语句例子Myblock: Block begin clr<=‘1’ after 10 ns; clr<=‘0’ after 20 ns; end block myblockprocess begin clr<=‘1’ after 10 ns; clr<=‘0’ after 20 ns; end block myblock 程序执行10 ns后clr 为1,又过 10 ns后 0赋给了clr,此时clr 以前的值1并没有清掉,clr将出现不稳定状态程序执行10 ns后clr 为1,又过 20 ns后 clr的值变为0,并行处理语句concurrent statement并行处理语句concurrent statement条件信号带入语句格式 目的信号量 <= 表达式1 when 条件1 else 表达式2 when 条件2 else 表达式3 when 条件3 ….. else 表达式4注意:最后的Else 项是必须的; 满足完全性和唯一性并行处理语句concurrent statement并行处理语句concurrent statement条件信号带入语句例子Block begin sel<=b & a; q<=ain when sel=“00” else bin when sel=“01” else cin when sel=“10” else din when sel=‘11” else xx; end block并行处理语句concurrent statement并行处理语句concurrent statement选择信号带入语句格式 with 表达式 select 目的信号量 <= 表达式1 when 条件1, 表达式2 when 条件2, ….. 表达式n when 条件n;并行处理语句concurrent statement并行处理语句concurrent statement选择信号带入语句例子Block begin with sel select q<=ain when sel=“00”, bin when sel=“01”, cin when sel=“10”, din when sel=‘11” xx; when others; end block 顺序执行语句和并行处理语句顺序执行语句和并行处理语句顺序执行语句和并行处理语句总结 1、顺序执行语句 wait、assert、if -else 、case、for-loop、while语句只能用在process、function 和 procedure 中; 2、并行处理语句(条件信号带入和选择信号带入)只能用在architecture、block中;其它语句其它语句Generic语句 enttity and2 is generic(rise:time:=10 ns); port(a,b: in nit ; c:out bit); end and2; architecture behav of and2 is begin c<=(a xor b) after (rise); end behavnullenttity testand2 is port(ain,bin: in nit ; cout:out bit); end testand2; architecture behav of testand2 is component and2 generic(rise:time); port(a,b: in nit ; c:out bit); end component; begin c<=(a xor b) after (rise); u0:and2 generic map(20 ns) port map(ain,bin,cout); end behav 一些例子一些例子Signal A,B,C, Y,Z,M,N : integer; Signal M,N : integer; begin process (A,B,C) begin M<=A; N<=B; Z<=M+N; M<=C; Y<=M+N; end process问题: Z和 Y的最终取值是什么? 信号值的更新在进程挂起时,(M+N)一些例子一些例子变量值的更新立即发生一些例子一些例子Z 和 Y最终取什么值;(C+B);M的变化将重新激发进程运行;结束语结束语祝贺您完成了VHDL基本内容的学习,希望您在实践过程中能学到更多! 下一讲: 状态机的设计第四讲、状态机的设计第四讲、状态机的设计概念 一类十分重要的时序电路 许多数字电路的核心部件状态机概述状态机概述状态机的结构: A、组合逻辑部分(状态译码器和输出译码器) B、寄存器部分 各部分的功能 1、状态译码器 确定状态机的下一个状态 2、输出译码器 确定状态机输出 3、状态寄存器 存储状态机的内部状态状态机的结构状态机的结构状态译 码器状态寄存器 (当前状态)输出译码器输入信号输出状态输出状态机的基本操作状态机的基本操作1、状态的转换 下一个状态由译码器根据当前状态和输入条件决定。 2、输出信号的产生 输出信号由译码器根据当前状态和输入条件决定状态机的时序 状态机的时序 同步时序状态机 由时钟信号触发状态的转换和信号的输出 异步时序状态机 状态的转移和输出不与时钟信号同步 注意:可综合的状态机设计要求使用同步状态机状态机的设计 状态机的设计 在产生输出的过程中,由是否使用输入信号可以决定状态机的类型 两种类型 1、米里(mealy)状态机---使用输入信号 2、莫尔(moore)状态机---不使用输入信号 状态机的类型 状态机的类型 状态一状态二状态一状态二ain=‘1’莫尔moore状态机米里mealy状态机用状态图表示如下:状态机的表达方式 状态机的表达方式 1、状态图 2、状态表 3、流程图三种表达方法是等价的,可以相互转换状态机的设计 状态机的设计 3进制计数器状态机的设计 状态机的设计 序列 检测 工程第三方检测合同工程防雷检测合同植筋拉拔检测方案传感器技术课后答案检测机构通用要求培训 器(1110010)S1S2S8S3S4S7S6S51110010/100110101VHDL上机指导VHDL上机指导编译和仿真工具 OR-CAD或ACTIVE-VHDL 本次培训采用ACTIVE-VHDL ACTIVE-VHDL自带教程 目录: ..\Active VHDL\book\Avhdl.htm
本文档为【VHDL】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_699919
暂无简介~
格式:ppt
大小:607KB
软件:PowerPoint
页数:0
分类:互联网
上传时间:2011-06-20
浏览量:55